Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference (한국전기전자재료학회:학술대회논문집)
The Korean Institute of Electrical and Electronic Material Engineers
- Annual
2009.06a
-
As device process technology advances, effective channel length, the thickness of gate oxide, and supply voltage decreases. This paper describes a novel electrostatic discharge (ESD) protection device which has current feedback for high ESD immunity. A conventional Gate-Grounded NMOS (GGNMOS) transistor has only one ESD current path, which makes, the core circuit be in the safe region, so an GGNMOS transistor has low current immunity compared with our device which has current feedback path. To simulate our device, we use conventional
$0.18\;{\mu}m$ technology parameters with a gate oxide thickness of$43\;{\AA}$ and power supply voltage of 1.8 V. Our simulation results indicate that the area of our ESD protection, device can be smaller than a GGNMOS transistor, and ESD immunity is better than a GGNMOS transistor. -
In this paper, plasma ion implant is performed with
$PH_3$ gas diluted by helium gas on P-type Si wafer (100). Spike Rapid Thermal Processing(RTP) annealing performed for 30~60 sec from$800\;^{\circ}C$ to$1000\;^{\circ}C$ in$N_2+O_2$ ambient. Crystalline defect is analyzed by Transmission Electron Microscope(TEM) and Double crystal X-ray Diffraction(DXRD). Contact resistivity($\rho c$ ), contact resistance(Rc) and sheet resistance(Rs) are analyzed by measuring Transfer Length Method(TLM) using 4155C analysis. As annealing temperature increase, Rs decrease and${\rho}c$ and Rc increase at temperature higher than$850\;^{\circ}C$ . We achieve low Rs,${\rho}c$ and Rc with Plasma ion implant and spike RTP. -
In the presence of infrared light, a CMOS Readout IC (ROIC) for a microbolometer typed infrared sensor detects the voltage or current that is caused by the changing in resistance in the bolometer sensor. A serious problem in designing the ROIC is how the value of the bolometer and reference resistors vary because of variations in manufacturing process. Since different pixel have different, resistance values, sensor operations must contend with fixed pattern noise (FPN) problems. In this paper, we propose a novel technique to compensate for the fluctuation in reference resistance by tiling into account the process variation. By using constant current source basing and correlated double sampling, we solved FPN.
-
Kim, Yeong-Lee;U, Chang-Ho;An, Cheol-Hyeon;Bae, Yeong-Suk;Gong, Bo-Hyeon;Kim, Dong-Chan;Jo, Hyeong-Gyun 9
1 wt % Ga-dope ZnO (ZnO:Ga) thin films with n-type semiconducting behavior were grown on c-sapphire substrates by radio frequency magnetron sputtering at various growth temperatures. The room temperature grown ZnO:Ga film showed the faint preferred orientation behavior along the c-axis with small domain size and high density of stacking faults, despite limited surface diffusion of the deposited atoms. The increase in the growth temperature in the range between$300\sim550^{\circ}C$ led to the granular shape of epitaxial ZnO:Ga films due to not enough thermal energy and large lattice mismatch. The growth temperature above$550^{\circ}C$ induced the quite flat surface and the simultaneous improvement of electrical carrier concentration and carrier mobility,$6.3\;\times\;10^{18}/cm^3$ and$27\;cm^2/Vs$ , respectively. In addition, the increase in the grain size and the decrease in the dislocation density were observed in the high temperature grown films. The low-temperature photoluminescence of the ZnO:Ga films grown below$450^{\circ}C$ showed the redshift of deep-level emission, which was due to the transition from$Zn_j$ to$O_i$ level. -
We have fabricated transparent and flexible thin film transistor(TFT) on polyethylene terephthalate(PET) substrate using Zinc Oxide (ZnO) and Indium Tin Oxide (ITO) film as active layer and electrode. The transfer printing method was used for printing the device layer on target plastic substrate at room temperature. This approach have an advantage to separate the high temperature annealing process to improve the electrical properties of ZnO TFT from the device process on plastic substrate. The resulting devices on plastic substrate presented mechanical and electrical properties similar with those on rigid substrate.
-
In this paper, we propose a high voltage driver IC(HVIC) for LCD and PDP TV power supply. The proposed circuit is included novel a shoot-through protection and a pulse generation circuit for the high voltage driver IC. The proposed circuit has lower variation of dead time and pulse-width about a variation of a process and a supply voltage than a conventional circuit. Especially, the proposed circuit has more excellent pulse-width matching of set and reset signals than the conventional circuit. Also the proposed pulse generation circuit prevent from fault operations using a logic gate. Dead time and pulse-width of the proposed circuit are typical 250 ns, and its variation is maximum 170 ns(68 %) about a variation of a process and a supply voltage. The proposed circuit is designed using
$1\;{\mu}m$ 650 V BCD process parameter, and a simulation is carried out using Spectre. -
We have investigated the fabrication and properties of bendable PZT film formed on plastic substrates for the application in flexible memory. These devices used the PZT active layer formed on
$SiO_2/Si$ wafer by sol-gel method with optimized device layouts and Pt electrodes. After etching Pt/PZT/Pt layers, patterned by photolithography process. these layers were transferred on PET plastic substrate using elastomeric stamp. The level of performance that can be achieved approaches that of traditional PZT. devices on rigid bulk wafers. -
Insulated Gate Bipolar Transistor(IGBTs) are widely used in power device industry. However, to improve the breakdown voltage, IGBTs are suffered from increasing on-state voltage drop due to structural design. In this paper, the new structure is proposed to solve this problem. The proposed structure has double p-floating layer inserted in n-drift layer. The p-floating layers improve the breakdown voltage compared to conventional IGBT without change of other electrical characteristics such as on-state voltage drop and threshold voltage. this is because the p-floating layers expand electric field distribution at blocking state. A electrical characteristic of proposed structure is analyzed by using simulators such as TSUPREM and MEDICI. As a result, on-state voltage drop and threshold voltage are same to a conventional TIGBT, but breakdown voltage is improved to 16%.
-
ZnO(
$Zn_{1+x}O$ )는 n-type 반도성 세라믹스로 우수한 전기적, 광학적, 화학적 특성을 갖고 있어 바리스터, 투명 전도막, 화학 및 바이오 센서, UV light emitter 등 다양한 용도로 사용되고 있다. 또한 ZnO에 각종 천이 금속 산화물을 일정량 첨가함에 따라 발생하는 결함준위와 입계 특성의 변화에 대한 연구가 활발히 진행되고 있다. 다양한 천이 금속 산화물의 첨가에 따른 전기적 광학적 특성의 변화에 대한 결과들이 많이 보고되고 있지만 서로 상충되거나 해석상 다소 어려운 것으로 알려져 있다. 따라서 본 연구에서는 ZnO에$Cr_2O_3$ 를 2.0 at% 첨가하여 Cr 첨가에 따른 ZnO의 결함준위와 입계 특성 변화에 대하여 각종 유전함수($Z^*$ ,$Y^*$ ,$M^*$ ,$\varepsilon^*$ , and$tan{\delta}$ )를 이용하여 고찰하였다 ZnO에 Cr을 첨가할 경우 결함 중 장범위 쿨롱 인력에 의한 결함(0.13~0.18 eV)이 ~100K 영역에서 나타났으며, ZnO 내 결함 중 대표적인$Zn_j$ 와$V_o$ 는 서로 겹쳐서 나타났다. 이들 중첩된 결함에 대하여 각종 유전함수를 이용할 경우 서로 분리해 낼 수 있는 강점이 있음을 논하였다. 또한 각 결함준위가 강는 정전용랑(C)과 저항(R)을 impedance-modulus spectroscopy를 이용하여 구한 결과, 소결온도가 높아질수록 정전용량은 증가하였으며, 측정온도가 놓아질수록 높아지는 경향을 나타내었다. 입계의 정전용량은 소결온도가 높아질수록 높아 지지만 측정온도가 높아질수록 낮아지는 경향을 나타내었다. 각 저항값은 소결온도 및 측정온도가 높아질수록 지수적으로 감소하였다. 또한 분포함수를 이용하여 입계 안정성에 대하여 고찰하였다. -
Piezoelectric single crystals in the ternary MPB PMN-PZ-PT system with high
$T_cs$ ($T_c$ >$200\sim300^{\circ}C$ ) and$E_cs$ ($E_c$ >5~10 kV/cm) were fabricated by the cost-effective solid-state crystal growth (SSCG) technique. Chemically uniform PMN-PZT single crystals were successfully grown up to 60 mm by the SSCG method and their dielectric and piezoelectric properties characterized. Compared to Bridgman PMN-PT single crystals, the high$T_c/E_c$ PMN-PZT single crystals were found to exhibit a much wider usage range with respect to electric field as well as temperature, and thus become best candidates for medical transducers, actuators, and naval applications. -
본 논문은 X8R 온도 특성을 가지는 유전체 원료를 이용하여 고용량이면서 고압화가 가능한 적층 칩 캐패시터를 제작하였다. 대형 고압용 적층 칩 캐패시터를 위한 내부 전극 설계 및 외부 전극 형성 방법에 대한 연구도 함께 진행하였다. 적층 칩 캐패시터를 하이브리드 자동차 및 산업용 인버터의 DC-Link으로 사용하기 적합한 모듈을 제작하였으며 모듈 설계시 고유전율의 에폭시-세라믹 필름을 하였다. 본 모듈을 평가한 결과 기존 캐패시터 모듈에 비하여 2/3 크기의 소형화를 얻을 수 있었으며 ripple 전류 및 발열 특성이 매우 우수함을 확인하였다.
-
TiNxOy/TiNx multilayer thin films with a high resistance (~ k
$Omega$ ) were deposited on SiO2/Si substrates at room temperature by sputtering. The TiNx thin films show island and smooth surface morphology in samples prepared by dc and rf magnetron sputtering, respectively. TiNxOy/TiNx multilayer has been developed to control temperature coefficient of resistance (TCR) by the incorporation of TiNx layer (positive TCR) inserted into TiNxOy layers(negative TCR). Electrical and structural properties of sputtered TiNxOy/TiNx multilayer films were investigated as a function of annealing temperature. In order to achieve a stable high resistivity, multilayer films were annealed at various temperatures in oxygen ambient. Samples annealed at 700 oC for 1 min exhibit a good TCR value and a stable high resistivity. -
Kim, Chul-Min;Lee, Young-Jin;Jeong, Young-Hun;Paik, Jong-Hoo;Kang, Kook-Jin;Lee, Jeong-Bae;Lee, Seung-Dae 20
치아의 치석제거 및 치골절삭에 사용되는 초음파 스케일러는 일반적으로 마그넷형과 압전형으로 구분할 수 있으며, 최근에 들어 소형화, 저전력, 정밀성, 저비용 등의 장점으로 인해 압전형이 주로 사용되고 있다. 국내의 대부분의 치과에서 한 대 이상 운용되는 초음파 스케일러는 대부분 유럽 제품으로며, 국내에서는 이를 대체하기 위한 제품이 출시되고 있으나 아직까지 유럽 제품에 비해 출력강도, 정밀도 등에서 성능이 모자란 현실로 시장에서 외면 받고 있다. 본 연구에서는 앞서 언급한 압전 초음파 스케일러에 대한 체계적인 연구를 진행하여 외국에 비해 상대적으로 성능이 떨어지는 초음파 스케일러의 성능을 개선하고자 하였다. 이를 위하여 스케일러의 진동 발생부, 즉 압전 세라믹과 SUS 재질의 head, tail 부로 구성된 진동발생부의 최적구조톨 도출하기 위하여 유한요소 해석을 실시하였으며, 스케일러의 중심주파수 28kHz에서 최대 출력이 발생할 수 있는 구조를 도출하였다. 스케일러의 Head 와 Tail 부문의 두께와 직경, 길이 변화에 따른 중심주파수 및 출력 변위의 경향분석을 실시하였으며, 이상의 결과를 바탕으로 실제 스케일러를 제작하여 시뮬레이션의 유효성을 검증하였다. 이상의 과정으로 거쳐 개발된 압전 초음파 스케일러는 다양한 Tip 종류의 영향을 최소화할 수 있으며, 중심주파수는 28~30kHz 에서 뛰어난 성능을 나타내어 기종 유럽제품의 성능을 앞지르는 특성을 확보할 수 있었다. -
Lee, Eun-Heay;Kim, Hyo-Tae;Lim, Jong-Woo;Yoon, Young-Joon;Kim, Jong-Hee;Park, Eun-Tae;Lee, Jong-Myun;Paik, Un-Gyu 21
후막 광식각 기술을 이용하여 형성된 Ag 전극과 LTCC 기판 사이의 접착력을 향상시키기 위하여 무기 바인더로서 anorthite, diopside 및 MLS-62 glass frit을 첨가하여 감광성 Ag paste를 제조하였다. 소성 후의 glass pool effect를 감소시키기 위해 attrition mill을 통하여 미세 glass 분말을 준비하였다. Glass frit은 Ag powder의 5vol%~25vol%의 함량으로 첨가하여 감광성 Ag paste를 제조하였고 패턴 형성 후$850^{\circ}C$ 에서 1시간 소결하였다. 전극과 기판 사이의 접착력은 micro-ball shear test 법으로 측정하였으며, Ag 전극 부착력은 glass frit의 함량 증가에 따라 증가하다가 감소하는 경향을 보이는데, 이는 과량의 glass frit 첨가로 인한 전극 내부에 액상 풀의 형성에 기인한 것으로 보여진다. Ag 전극의 면저항은 glass frit의 함량이 증가함에 따라$0.13m{\Omega}{/\square}$ 에서$2.06m{\Omega}{/\square}$ 까지 증가하는 경향을 나타내었다. 소성 전후의 전극 패턴의-수축율은$100{\mu}m$ 의 선폭을 기준으로 glass frit의 첨가랑이 증가할수록 43.3%에서 35.0%로 감소하였으며, 그 결과 최소 선폭$25{\mu}m$ 의 미세 전극 패턴의 형성이 가능하였다. -
The silver thick film has been used in many industries such as display, chip, solar cell, automobile, and decoration with conventional heating. The silver thick film is fired with optimal time and temperature. However, decreasing the fabrication time is required due to high production power. Furthermore, there is a problem that silver in electrode is diffused throughout any substrates. For inhibiting the Ag diffusion and long fabrication time we considered a microwave heating. We investigated firing of silver thick film with conventional and microwave heating. The temperature of substrate was measured by thermal paper and the temperature of substrate was under
$100\;^{\circ}C$ The shrinkage of electrode was measured with optical microscopy and optical profilometry. The shrinkage of electrode heat treated with microwave for 5min was similar to the that fired by the conventional heating for several hours. After firing by two types of heating, the diffusion of silver was determined using a optical microscope. The microstructure of sintered silver thick film was observed by SEM. Based on our results, the microwave heating should be a candidate heating source for the fabrication electronic devices in terms of saving the tact time and preventing the contamination of substrate. -
Kong, Bo-Hyun;Kim, Dong-Chan;Kim, Young-Yi;Ahn, Cheol-Hyoun;Han, Won-Suk;Choi, Mi-Kyung;Bae, Young-Sook;Woo, Chang-Ho;Cho, Hyung-Koun;Moon, Jin-Young;Lee, Ho-Seong 23
GaN-based nitride semiconductors have attracted considerable attention in high-brightness light-emitting-diodes (LEDs) and laser diodes (LDs) covering from green to ultraviolet spectral range. LED and LD heterostructures are usually grown on (0001)-$Al_2O_3$ . The large lattice mismatch between$Al_2O_3$ substrates and the GaN layers leads to a high density of defects(dislocations and stacking faults). Moreover, Ga and N atoms are arranged along the polar [0001] crystallographic direction, which leads to spontaneous polarization. In addition, in the InGaN/GaN MQWs heterostructures, stress applied along the same axis can also give rise to piezoelectric polarization. The total polarization, which is the sum of spontaneous and piezoelectric polarizations, is aligned along the [0001] direction of the wurtzite heterostructures. The change in the total polarization across the heterolayers results in high interface charge densities and spatial separation of the electron and hole wave functions, redshifting the photoluminescence peak and decreasing the peak intensity. The effect of polarization charges in the GaN-based heterostructures can be eliminated by growing along the non-polar [$11\bar{2}0$ ] (a-axis) or [$1\bar{1}00$ ] (m-axis) orientation instead of thecommonly used polar [0001] (c-axis). For non-polar GaN growth on non-polar substrates, the GaN films have high density of planar defects (basal stacking fault BSFs, prismatic stacking fault PSFs), because the SFs are formed on the basal plane (c-plane) due to their low formation energy. A significant reduction in defect density was recently achieved by applying blocking layer such as SiN, AlN, and AlGaN in non-polar GaN. In this work, we were performed systematic studies of the defects in the nonpolar GaN by conventional and high-resolution transmission electron microscopy. -
투명전극 (TCO Transparent Conductive Oxide)은 Solar cell, Touch panel, Sensor 등 많은 분야에 이용되어지고 있다. ZnO 그리고
$SnO_2$ 는 ITO룰 대체하기 위하여 오래전부터 연구가 되어지고 있다. 하지만 ZnO가 가지고 있는 많은 장점에도 불구하고 ITO를 대체하기 위한 전기적 특성이 충분하지 않다. 따라서 ZnO에 Al를 도핑하는 등 다양한 연구가 진행되어왔다. 본 실험은 우수한 광학특성 및 전기적 (10-5) 특성을 확보하기 위하여 AZO/Ag/AZO 다층박막구조 형성하였다. 또한 염료감응 태양전지에 적용하기 위하여 다층박막구조를 이용한 안정성 테스트를 진행하였다. -
Pulsed-PECVD를 이용하여 상온에서 실리콘 나이트라이드(SiN) 박막을 증착하였다. 본 연구에서는, 60-100%의 duty ratio 변화에 따른 굴절률을 살펴보고, 굴절률에 대한 이온에너지의 영향을 분석했다. RF 소스파워는 900W로 고정하였고
$SiH_4-N_2$ 를 이용하였다. 이온에너지에 대한 정보는 non-invasive 이온 분석기를 이용하여 수집하였다. 측정된 이온에너지 변수는 high ion energy, low ion energy, high ion energy flux, low ion energy flux이며, 이를 이용해 또 다른 변수인 ion energy flux ratio를 계산하였다. Duty ratio의 감소에 따라 굴절률은 일반적으로 감소하였다. 또한 duty ratio의 감소에 따라 high ion energy는 증가하였다. 한편, 60-80%에서 굴절률은 이온에너지 flux의 비에 강한 의존성을 보였으며, 60%를 제외한 모든 duty ratio 구간에서 굴절률은 Nl에 강하게 영향을 알고 있는 것으로 유추되었다. 굴절률은 1.508와 1.714 사이에서 변화하였다. -
Non-stochiometric CdS:H films grown on polyethersulfon (PES) flexible polymer substrates at room temperature by R.F. sputtering technique. They exhibited a dark- and photo-sheet resistance of
$2.7\times10^5$ and$\sim\;50\;{\Omega}$ /square, respectively. These values were realized by an optimum control of both hydrogen doping-levels and the surface morphologies of the films. The comparison between the real and the simulated results for the shielding and the transmission by the free space measurement system in the X-band frequency range (8.2 - 12.4 GHz) was also addressed in this study. Samples overlapped with 13 layers of CdS:H/PES were consistent with the transmission results of pure aluminum metal films ($0.1\;{\Omega}$ /square) deposited on PES substrates. As a result, by the simples tacking of the CdS:H/PES layers, the perfect control of the shielding and the transmission of the EM wave in the range of X-band frequency is possible by avisible light alone, and their results are especially very outstanding findings in the stealth function of the radome(Radar+Dome) such as aircrafts, ships, and missiles. -
Fabrications of antireflection structures on solar cell were investigated to trap the light and to improve quantum efficiency. Introductions of patterned substrate or textured layer for Si solar cell were performed to prevent reflectance and to increase the path length of incoming light. However, it is difficult to deposit conformally flat electrode on perpendicular plane. ZnO is II-VI compound semiconductor and well-known wide band-gap material. It has similar electrical and optical properties as ITO, but it is nontoxic and stable. In this study, Al-doped ZnO thin films are deposited as transparent electrode by atomic layer deposition method to coat on Si substrate with micro-scale structures. The deposited AZO layer is flatted on horizontal plane as well as perpendicular one with conformal 200 nm thickness. The carrier concentration, mobility and resistivity of deposited AZO thin film on glass substrate were measured
$1.4\times10^{20}cm^{-3}$ ,$93.3cm^2/Vs$ ,$4.732\times10^{-4}{\Omega}cm$ with high transmittance over 80%. The AZO films were coated with polyimide and performed selective polyimide stripping on head of column by reactive ion etching to measure resistance along columns surface. Current between the micro-columns flows onto the perpendicular plane of deposited AZO film with low resistance. -
현재 고집적 비휘발성 메모리 소자로는 MRAM (Magnetic Random Access Memory)과 PRAM (Phase Magnetic Random Access Memory)이 활발하게 미국과 일본, 한국 등에서 다양한 연구가 진행되어 오고 있다. 이 중에서 MRAM은 DRAM과 비슷한 10 ns의 빠른 읽기/쓰기 속도와 비휘발성 특성을 가지고 있으며, 전하를 저장할 커패시터가 필요 없고, 두 개의 자성충에 약 10 mA 정도의 전류를 가하면 그때 발생하는 약 10 Oe의 자장을 개개의 비트를 write하고, read 시에는 각 비트의 자기저항을 측정함으로써 데이터를 저장하고 읽을 있으므로, 고집적화가 가능성하다 [1]. 현재 우수한 박막 재료가 개발 되었으나, 고집적 MRAM 소자의 양산에는 해결 하여야 하는 문제점이 있다. 특히 다층 박막으로 구성되어 있으므로 식각 공정의 개발이 필수적이다. 지금까지 MRAM 재료의 식각은 주로 Ion milling, ICP, ECR등의 플라즈마 장치를 되었고, 식각 가스로는 할로겐 기체와 금속카보닐 형성을 위한 Co/
$NH_3$ 와$Ch_3OH$ 기체가 이용되고 있다. 그러나 할로겐 계열의 기체를 사용할 경우, 식각 부산물들의 높은 끓는점 때문에 식각 부산물이 박막의 표면에서 열적 탈착에 의하여 제거되지 않기 때문에 높은 에너지를 가지는 이온의 도움에 의한 식각이 필요하다. 또한 Cl 계열의 기체를 사용할 경우, 식각 공정 후, 시료가 대기에 노출되면 대기 중의 수분과 식각 부산물이 결합하여 부식 현상이 발생하게 된다. 그러므로 이를 방지하기 위한 추가 공정이 요구된다. 최근에는 부식 현상이 없고, MTJ 상부에 사용되는 Ta 또는 Ti Hard mask와의 높은 선택비를 가지는$CH_3OH$ 또는 CO/$NH_3$ 가 사용되고 있다. 하부 박막에 따른 식각 특성에 연구와 다층의 박막의 식각 공정에 발생에 관한 발표는 거의 없다. MRAM을 양산에 적용하기 위하여서는 Main etch 공정에서 빠른 식각 공정이 필요하고, Over etch 공정에서 하부박막에 대한 높은 선택비가 요구된다. 그러므로 본 논문에서는 식각 변수에 따른 플라즈마 측정과 표면 반응을 비교하여 각 공정의 식각 메커니즘을 규명하고, Main Etch 공정에서는$Cl_2$ /Ar 또는$BCl_3$ /Ar 가스를 이용하여 식각 실험을 수행하고, Over etch 공정에는 낮은 Ta 박막 식각 속도를 가지는$Ch_4/O_2$ /Ar 또는$Ch_3OH$ /Ar 가스를 이용하고자 한다. 플라즈마 내의 식각종과 Ta 박막과의 반응을 XPS와 AES를 이용하여 분석하고, 식각 공정 변수에 따른 식각 속도, 식각 선택비와 식각 프로파일 변화를 SEM을 이용하여 관찰한다. -
전하가 다른 두 종류의 토너입자를 ITO 기판에 격벽 높이의 차이를 두어 제작한 패널을 사용하였다. 토너입자를 충전할 때 동일한 격벽 높이의 패널을 사용하는 것과 서로 다른 패널을 사용하여 합착, 2가지 type을 제작하였다. 제작된 패널을 전압별로 구동하고 각 구동전압에서의 광특성을 측정하였다. 셀 내부에 충전되어 있는 입자보다 운동량이 적은, 즉 셀 내부에서도 격벽 표면에 흡착되어 있는 토너입자들이 구동과 광특성에 어떠한 영향을 미치는지 평가하였다.
-
Kim, Jin-Ho;Her, Jung-Hwa;Chin, Mi-Hyung;Lim, Young-Jin;Jin, Hyun-Suk;Kim, Byeong-Koo;Lee, Seung-Hee 32
We propose viewing angle switchable liquid crystal display(LCD) associated with fringe-field switching (FFS) mode with high aperture ratio characteristic. This device is composed of R(red), G(green), B(blue), pixel and W(white) pixel in which R,G,B pixel shows image and white pixel for both viewing angle control and image. Conventional viewing angle controllable liquid crystal display has not transmittance because the liquid crystal only tilts up without rotates in viewing angle control region. In this paper, we suggested that the device has high transmittance characteristic because the LC directors are rotated in which viewing angle control region are generated fringe electric field. -
We have studied the electrical and optical of organic light-emitting diodes depending on hole size of crucible boat using BCP materials. The thickness of TPD,
$Alq_3$ and BCP was manufactured 40 nm, 60 nm and 5 nm under a base pressure of$5\times10^{-6}$ Torr using at thermal evaporation, respectively. In order to investigate the optimal surface roughness of BCP, the BCP was thermally evaporated to be 0.8 nun, 1.0 mm, 1.2 mm and 1.5 mm as a hole size of crucible boat, respectively. As the experimental results, we found that the luminous efficiency and the external quantum efficiency of the device is superior to others when hole size of crucible boat using BCP is 1.2 mm. Also, compared to the ones from the devices having the hole size of crucible boat is 1.0 mm and 1.5mm layer, the external quantum efficiency were improved by 2.5 and 2.4 times. -
We have studied the effect of the hole transporting layers on the device efficiencies blue phosphorescent organic light emitting diodes (PHOLED) with of iridiumIIIbis4,6-di-fluorophenyl-pyridinato-N,C2picolinate (FIrpic) doped 3,5--N,N-dicarbazole-benzene (mCP) host. The highest efficiency of blue PHOLED is strongy dependent on the hole transporting materials, exhibiting the maximum current efficiency.
-
Characteristics of the photonic bandgaps (PBGs) in two-dimensional photonic crystals (2D PCs) with a hexagonal lattice have theoretically studied using a finite difference time domain (FDTD) simulation. In this research, we propose a concept of optical coverage ratio (OCR) as a new structural parameter to determine the PBGs for E-polarized light. The OCR is an optically compensated filling factor. It is possible to normalize the PBGs of 2D PCs by introducing the OCR.
-
Kim, Woo-Il;Kim, Sung-Min;Cho, In-Young;Kim, Dae-Hyun;Kwon, Dong-Won;Jeong, Yeon-Hak;Ryu, Jae-Jin;Kim, Kyeong-Hyeon;Lee, Seung-Hee 39
The patterned vertical alignment (PVA) display showed good electro-optic properties such as wide viewing angle, fast response time, high Contrast Ratio. However, the device has patterned electrode on both top and bottom electrodes which requires high accuracy of assembling of top and bottom substrates in order to exhibit a high performance. So, they have disadvantage about low yield. In order to resolve these problem, in this paper, we studied about top substrate pattern free vertical alignment device associated with surface polymer stabilization using the UV curable monomer. This method shows simple progress, low cost and good electro-optic properties such as high transmittance and fast response time. -
본 연구에서 사용한 대향 타겟식 스퍼터링(Facing Targets Sputtering) 법은 일반 스퍼터링 법의 단점을 보완한 고밀도 저온 고속성막이 가능한 장점을 가지고 있기 때문에 플랙시블 디스플레이의 기체 투과 방지막으로 많이 쓰이고 있는 SiOxNy, SiOx, SiNx의 박막을 다층으로 증착하여 polymer 기판 위에 조건에 따라 증착 후 박막의 특성을 연구하였다. 제작된 박막의 광학적 특성을 UV-VIS spectrophotometer(Shimadzu Co.)를 사용하여 200~1100nm의 파장 영역에서 광 투과도를 측정하였으며 박막의 두께와 균일도는
$\alpha$ -step(Veeco Co.)을 사용하여 측정하였고, 절대 정량이 가능하고 비파괴 분석법인 RBS(KOBE STEEL LTD.)를 이용하여 표면의 성질을 규명하고 XRR(PANalytical X'Pert PRO)을 분석하여 박막의 계면영역에 대한 물성 변화를 평가하고 박막의 밀도를 측정하였다. SEM(Digital Instrument Co.) 사진을 통해 단면과 표면을 관찰하였고 구조적 특성은 AFM(Digital Instrument Co.)와 XRD(Rigaku Co.) 통해 측정하였고 박막의 성분비는 EDS(JEOL Co.)를 사용하였으며 투습률 측정장치 (MOCON)을 이용하여 WVTR를 측정하였다. -
본 연구에서는 고주파 마그네트론 스퍼터링방법을 사용하여 ITO박막을 대체할 수 있는 새로운 TCO박막으로서
$TiO_2$ 가 도핑된 ZnO(TZO) 박막을 성막하였다. 이때,$TiO_2$ 의 도핑량을 1wt.%에서 5wt.%까지 변화를 주었으며 제작된 TZO 박막에 대해서 전기적 특성과 광학적 특성들의 조성비와 박막두께의 할수로서 조사하였다. 그 결과,$TiO_2$ 가 2wt.% 도핑된 박막에서 가장 낮은$1\times10^{-3}\Omega{\cdot}cm$ 의 비저항이 얻어졌으며,$TiO_2$ 의 도핑량이 증가함에 따라 비저항은 점점 증가하는 것으로 나타났다. 이와같은 비저항의 변화는$TiO_2$ 도핑량이 다른 TZO박막의 홀이동도(Hall mobility)에 비례하며, 이동도는 결국 TZO박막을 형성하고 있는 결정립의 크기에 의존하는 것이 X선 회절 패턴으로부터 확인되었다. XRD 패턴에서 ZnO(002) 방향의 결정성이 가장 큰 것으로 나타났으며, 도핑량이 증가할수록(002)피크의 크기가 점점 감소하는 것을 볼 수 있다. 이는 결정성의 크기가 2wt.%일 때 가장 크며 도핑량이 증가할수록 결정성의 크기가 감소하는 것으로 나타났다. 결정립의 크기변화는 TZO박막의 전기적 이동도에 영향을 주는 것으로 나타난다. 즉, 2wt.%일 때 이동도가 가장 크며 도핑량이 증가할수록, 이동도가 감소하였으며 이결과는 TZO박막의 Hall effect 측정으로부터 확인된다. 따라서,$TiO_2$ 도핑량에 따른 TZO 박막의 비저항을 도핑량이 2wt.%일 때 가장 낮으며 이는 TZO 박막의 결정성이 가장 우수하였으며 그결과 이동도가 증가했기 때문인 것으로 확인되었다. -
Kim, Young-Su;Kang, Min-Ho;Nam, Dong-Ho;Choi, Kang-Il;Oh, Jae-Sub;Song, Myung-Ho;Lee, Hi-Deok;Lee, Ga-Won 44
Recently, transparent ZnO-based TFTs have attracted much attention for flexible displays because they can be fabricated on plastic substrates at low temperature. We report the fabrication and characteristics of ZnO channel layers(ZnO TFTs) having different channel thicknesses. The ZnO film were deposited as active channel layers on$Si_3N_4/Ti/SiO_2p$ -Si substrates by rf magnetron sputtering at$100\;^{\circ}C$ without additional annealing. Also the Zno thin films deposited at oxygen partial pressures of 40%. ZnO TFTs using a bottom-gate configuration were investigated. The$Si_3N_4$ film were deposited as gate insulator by PE-CVD at$15\;^{\circ}C$ . All Processes were processed below$150^{\circ}C$ which is optimal temperature for flexible display and were used dry etching method. -
Synthesis and enhancements of exciton-phonon interactions for ZnO nanopencils by thermal evaporation우리는 ZnO Template를 사용한 열기상법을 이용하여 수직 배양한 ZnO nanorods와 ZnO Nanopencils를 성장하였고, Dependency temperature Photoluminescence(PL)의 분석을 통하여 광학적 특성에 대해 분석을 하였다. ZnO 나노구조는 100K 이하의 온도에서 donor-bound exciton가 dominant하고, 100K 이상의 온도에서는 free exciton과 그들의 phonon-replica emission이 dominant한 것을 알 수 있었다. 하지만, ZnO nanorods와 nanopencils은 다른 exciton-phonon coupling의 strength에 의한 surface defects에 의해 excitonic emissions의 다른 거동을 보이는 것을 알았다. 이것으로 인해 상온 PL에서 ZnO nanopencil은 nanorods에 비해 52meV의 red shift를 보였다.
-
In this paper, a power combiner using IPD process for SK Telecom 3-Generation (2.13 ~ 2.15 GHz) application. The Integrated Passive Device (IPD) Wilkinson power Combiner shows compact size and high performance. It is simulated by 3D Electro Magnetic (EM) simulation because of more accurate measurement result wire-bonding effects. This combiner exhibit size of
$1.2mm^2$ the insertion loss of 3.6 dB, and the return loss of 10.1 dB, and isolation of more than -7.7 dB. -
최근 나노광전소자 응용에 큰 관심을 받는 물질인 산화물 나노선은 앞으로 불어 올 나노소재 시대를 여는 선두 물질이다. 이러한 산화물 나노선 가운데 가장 큰 관심을 받는 물질로는 산화아연 나노선을 들 수 있다. 삼화아연 나노선은 상온에서 큰 엑시톤 결합에너지 및 큰 밴드갭을 가지고 있으며 투명성 및 소자구동시 안정성을 지니고 있어 그 응용이 기대된다. 하지만 이러한 나노선을 이용한 광전소자 응용은 bottom-up 방식을 기초로 한 대면적 소자제작이 어렵다. 이러한 bottom-up 방식의 나노소자 제작에서 필요한 나노선 성장기술은 금속 catalyst 없이 대면적 성장, 나노선 수직어레이, 나노선의 고온성장, 기판 사이에 발생하는 자발적 계면층 제거 등으로 대표된다. 또한 나노선의 결정성 및 광특성 향상을 위해서는 고온성장이 불가피한데, 실리콘 기판과 같이 격자상수 불일치도가 큰 기판에서는 나노선 성장이 이루어지지 않고 다시 탈착되어 구조물이 성장되지 않는다. 본 연구에서는 선택적 삼원계 단결정 씨앗층을 이용하여 길이/직경 비가 매우 향상된 MgZnO 나노와이어를 interfacial layer 없이 수직으로 고온에서 성장하여 산화물 전계방출 에미터로서의 가능성을 확인하였다.
-
Nanocomposites of gold nanoparticles and multi-walled carbon nanotubes (MWNTs) were prepared by electrostatic interaction. Gold nanopartic1es were stabilized by polyvinylpyrrolidone (PVP), sodium dodecyl sulfate (SDS) and poly(sodium-4-styrenesulfonate) (PSS) in aqueous medium, and MWNTs were modified by poly(diallyldimethylammonium)chloride (PDDA) in water. The as-perpared Au-MWNT nanocomposites were structurally and electrically characterized by transmission electron microscopy (TEM), field emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), UV/Vis spectroscopy, X-ray photoelectron spectroscopy (XPS) and cyclo voltammetry (CV). UV/Vis spectra of Au-MWNT nanocomposites showed the characteristic surface plasmon bands in the range of ~515nm, depending on the stabilizers. There is only slight change on the band shape with variation of stabilizing agents for gold nanoparticles. Through FE-SEM and TEM images, the distribution of gold, nanoparticles on the sidewalls of MWNTs was deliberately investigated on Au-MWNT nanocomposites treated with different stabilizers. XPS and CV showed redistribution of electron densities and changes in the binding energy states of nanopartic1es in nanocomposite respectively.
-
This paper presents integrated passive device (IPD) based on Wilkinson power divider. The simulated 2-way power divider has the insertion loss of 3.123 dB, output isolation of -24.576 dB, input return loss of 26.415 dB, and output return loss of 33.478 dB. The power divider is based on IPD process design simulation at 2.5 GHz for WiMAX (Worldwide Interoperability for Microwave Access) applications. The chip size of power divider is
$1\;\times\;1.2\;mm^2$ , which is under fabrication. -
To date, chalcogenide alloy such as
$Ge_2Sb_2Te_5$ (GST) have not only been rigorously studied for use in Phase Change Random Access Memory(PRAM) applications, but also temperature gap to make different states is not enough to apply to device between amorphous and crystalline state. In this study, we have investigated a new system of phase change media based on the In-Sb-Te(IST) ternary alloys for PRAM. IST chalcogenide thin films were prepared in trench structure (aspect ratio 5:1 of length=500nm, width=100nm) using Tri methyl Indium$(In(CH_3)_4$ ),$Sb(iPr)_3$ $(Sb(C_3H_7)_3)$ and$Te(iPr)_2(Te(C_3H_7)_2)$ precursors. MOCVD process is very powerful system to deposit in ultra integrated device like 100nm scaled trench structure. And IST materials for PRAM can be grown at low deposition temperature below$200^{\circ}C$ in comparison with GST materials. Although Melting temperature of 1ST materials was$\sim 630^{\circ}C$ like GST, Crystalline temperature of them was ~$290^{\circ}C$ ; one of GST were$130^{\circ}C$ . In-Sb-Te materials will be good candidate materials for PRAM applications. And MOCVD system is powerful for applying ultra scale integration cell. -
Jung, Hyun-Young;Jung, Yong-Ho;Choo, Won-Il;Jang, Soo-Ouk;Lee, Bong-Ju;Kim, Ki-Dong;Lee, Jun-Young;Kwon, Sung-Ku 53
박막태양전지의 투명전도막으로 응용이 기대되는 ZnO 박막을 원격 유도결합플라즈마를 이용하여 고속으로 증착활 수 있는 공정기술을 개발하기 위하여 기판온도, 가스조성 플라즈마 파워와 같은 공정변수에 대하여 실험하였다. 실험결과 증착속도는 소스유량을 고정한 경우, 온도가 증가할수록 감소하며,$H_2O$ 유랑과 압력이 증가할수록 증가하다가 포화되는 경향을 나타내었다. 기판온도$150\;^{\circ}C$ 와 플라즈마 출력 200 W의 조건에서 ZnO의 증착속도는 500 nm/min 이상의 높은 증착속도를 나타내었으며, 전기적 광학적 특성 또한 우수한 것으로 나타났다. 또한 ZnO 박막의 물성은 구조에 민감하게 의존하였으며, 이러한 구조는 공정조건에 의하여 제어가능 함을 알 수 있었다. 자세한 연구결과는 학회에서 발표할 예정이다. -
Ag thick film has been used for electrode materials with the excellent conductivity. Ag electrode is used in screen-printed silicon solar cells as a electrode material. Compared to photolithography and buried-contact technology, screen-printing technology has the merit of fabricating low-priced cells and enormous cells in a few hours. Ag paste consists of Ag powders, vehicles and additives such as frits, metal powders (Pb, Bi, Zn). Frits accelerate the sintering of Ag powders and induce the connection between Ag electrode and Si wafer. Thermophysical properties of frits and reactions among Ag, frits and Si influence on cell performance. In this study, Ag pastes were fabricated with adding different kinds of frits. After Ag pastes were printed on silicon wafer by screen-printing technology, the cells were fired using a belt furnace. The cell parameters were measured by light I-V to determine the short-circuit current, open-circuit voltage, FF and cell efficiency. In order to study the relationship between the reactivity of Ag, frit, Si and the electrical properties of cells, the reaction of frits and Si wafer on was studied with thermal properties of frits. The interface structure between Ag electrode and Si wafer were also measured for understanding the reactivity of Ag, frit and Si wafer. The excessive reactivity of Ag, frit and Si wafer certainly degraded the electrical properties of cells. These preliminary studies suggest that reactions among Ag, frits and Si wafer should optimally be controlled for cell performances.
-
In this paper, we introduce the status of certificate test on crystalline silicon photovoltaic, module in Korea. Up to 5. 2009, about 200 models have passed certificate test under KS C IEC61215 standard. Most products are from Korea, Japan, China. And some are from USA, German and Taiwan. A few environmental test items' results and standard test methods will be discussed. The detail discussion will be shown in the following paper.
-
반도체 device가 점점 고집적화, 다층화 되면서 막질의 평탄화를 위한 CMP (chemical mechanical planarization) 공정은 반도체 제작 공정에서 필수 요건이 되었다. 특히 pad conditioning은 CMP 공정 중, 막질의 제거율과 균일도를 유지시키기 위한 중요한 공정이다. 하지만, conditioner를 장시간 사용할 경우 slurry residue와 같은 잔류 오염물질들이 conditioner의 표면의 오염을 유발할 수 있고 이로 인해 conditioner의 수명이 단축되거나 웨이퍼 표면에 결함을 유발할 수도 있다. 본 연구에서는 이를 방지하기 위해 vapor SAM을 이용하여 Ni conditioner 표면에 소수성 박막을 증착하여 오염여부를 평가해 보았다. 먼저, Ni wafer를 이용하여 증착 온도와 압력에 따라 소수성 박막을 증착하여 표면특성을 평가해 보았다. 증착전과 후에 Ni wafer 표면의 접촉각은 contact angle analyzer (Phoenix 400, SEO, Korea)를 이용하여 측정하였다. 박막 표면 형상과 거칠기는 AFM (XE-100, PSIA, Korea)를 이용하여 평가되었고 묘면 성분 분석을 위해 FT-IR (Nicolet 6700, Thermo Scientific, USA)이 사용되었다. SEM (S-4800, Hitach, Japan)은 박막 증착 전과 후의 conditioner를 이용하여 실제 conditioning후 conditioner 표면의 particle 오염정도를 관찰하기 위해 사용되었다. 또한, conditioner 표면에 실제 오염되어있는 particle 개수를 평가하기 위해 particle size analyzer (Accusizer 780A, Particle Sizing Systems Co., USA)을 사용하였다. 본 실험을 통해 최적 증착 조건을 확립하였으며 실제 conditioner 표면에 소수성 박막을 증착 후
$100^{\circ}$ 이상의 높은 contact angle을 확인할 수 있었다. 또한, 소수성 박막이 증착된 conditioner의 경우 실제 conditioning후 표면 particle 오염이 현저히 감소되었음을 확인할 수 있었다. -
반도체 device가 고집적화 및 다층화 되어짐에 따라 현재 사용되고 있는 구리 interconnect의 확산방지막인 Ta/TaN은 많은 문제가 발생하고 있다. 고집적화 된 반도체 소자에 적용시키기에는 Ta/TaN 확산 방지막의 고유 저항값이 매우 크고, 구리의 증착에 필요한 seed layer의 크기도 문제화 된다고 보고되어지고 있다. 이러한 이유로 인해 점차 고집적화 되어지는 반도체 기술에 맞추어 새로운 확산 방지막에 대한 연구가 현재 활발히 이루어지고 있다. 이에 새로운 확산 방지막으로써 대두되고 연구되고 있는 재료가 Ruthenium (Ru)이다. Ru은 공기 중에서 매우 안정하고 고유저항 값 또한
$13\;{\mu}{\Omega}\;cm$ 의 Ta에 비해$7.1\;{\mu}{\Omega}\;cm$ 의 매우 작은 고유저항 특성을 가지고 있다. 또한, Ru은 구리와의 우수한 접착성으로 인해 구리의 interconnect의 형성에 있어 seed layer가 필요하지 않을 뿐만 아니라 높은 annealing 온도에서도 무시할 만큼 작은 solid solubility를 가지며 구리와의 계면에서 새로운 화합물을 형성하지 않으며 annealing시 구리의 delamination을 유발시키지도 않는다. 이에 따라, 평탄화와 소자 분리를 위하여 chemical mechanical planarization (CMP) 공정이 필요하게 되었다. 하지만, Ru의 noble한 성질과 Ru 확산방지막 CMP공정 시 노출되는 다른 이종 물질 사이의 최적화 된 selectivity를 구현하는데 많은 어려움이 있다. 이로인해 Ru 확산 방지막을 위한 CMP slurry에 대한 연구는 아직 미흡한 수준이다. 본 연구에서는 Ru이 확산방지막으로 사용되었을 때 이를 위한 CMP slurry에 대한 평가와 연구가 이루어졌다. Slurry 조성과 농도 및 pH에 따른 전기 화학적 분석을 통하여 slurry 내에서 각각의 막질들이 어떠한 상태로 존재하는지 분석해 보았다. 또한, Ru을 비롯한 이종막질들의 etch rate, removal rate와 selectivity에 대한 연구가 진행되었다. 최종적으로 Ru 확산방지막 CMP를 위한 최적화된 slurry를 제안하였다. -
Jeong, Suk-Hoon;Lee, Hyun-Seop;Jeong, Moon-Ki;Shin, Woon-Ki;Lee, Sang-Jik;Park, Boum-Young;Kim, Hyoung-Jae;Jeong, Hae-Do 58
Uniformity related issues in chemical mechanical polishing (CMP) are within wafer non-uniformity (WIWNU), wafer to wafer non-uniformity (WTWNU), planarity and dishing/erosion. Here, the WIWNU that originates from spatial distribution of independent variables such as temperature, sliding distance, down force and material removal rate (MRR) during CMP, relies to spatial dependency. Among various sources of spatial irregularity, hardness and modulus of pad and surface roughness in sources for pad uniformity are great, especially. So, we investigated the spatial variation of pad surface characteristics using pad measuring system (PMS) and roughness measuring system. Reduced peak height ($R_{pk}$ ) of roughness parameter shows a strong correlation with the removal rate, and the distribution of relative sliding distance onwafer during polishing has an effect on the variation of$R_{pk}$ and WIWNU. Also, the results of pad wear profile thorough developed pad profiler well coincides with the kinematical simulation of conditioning, and it can contribute for the enhancement of WIWNU in CMP process. -
현재 CMP분야는 광역 평탄화 반도체 소자의 집적화 및 소형화가 진행됨에 따라서 CMP 공정의 중요성은 날로 성장하고 있다. 하지만 이러한 CMP공정은 불가피하게도 scratch, pit, CMP residue와 같은 defect들을 발생시키고 있으며, 점점 선폭이 작아짐에 따라, 이러한 defect들이 반도체 수율에 미치는 영향은 심각해지고 있다. Defect들 중에 특히 scratch는 반도체에 치명적인 circuit failure를 일으키게 된다. 또한 반도체 내구성과 신뢰성을 감소시키게 되고, 누전전류를 증가시키는 등 바람직하지 못한 현상들이 생기게 된다. 본 연구에서는 scratch 와 같은 deflect들을 효율적으로 검출, 분석하고, scratch를 감소시키는데 그 목적이 있다. 본 실험을 위해 8" TEOS wafer와 commercial oxide slurry 및 friction polisher (Poli-500, G&P tech., Korea)를 사용하여 CMP 공정을 진행하였으며, CMP 공정조건은 각각 80rpm/80rpm/1psi(Platen speed/Head speed/Pressure)에서 1분 동안 연마를 한 후 scratch 발생 경향을 살펴보았다. CMP 후 wafer위에 오염되어 있는 slurry residue들을 제거하기 위해 SC-1, HF 세정을 이용하여 최적화된 post-CMP 공정기술을 제안하였다. Scratch 검출 및 분석을 위해 wafer surface analyzer (Surfscan 6200, Tencor, USA)와 optical microscope (LV100D, Nicon, Japan)를 사용하였다. CMP 공정 변수들에 따른 scratch 발생정도를 비교하였으며, scratch 발생 요인들에 따른 scratch 형태 및 발생정도를 살펴보았다. 최적화된 post-CMP 세정 조건은 메가소닉과 함께 SC-1 세정을 실시하여 slurry residue들을 제거한 후, HF 세정을 실시하여 잔여 오염물들을 제거하고 검출이 용이하도록 scratch를 확장시킬 수 있도록 제안하였으며, 100%의 particle removal efficiency (PRE)를 얻을 수 있었다. 실제 CMP 공정후 post-CMP 세정 단계별 scratch 개수를 측정한 결과, SC-1 세정 후 약 220개의 scratch가 검출되었으며, 검출되지 않았던 scratch가 HF 세정 후 확장되어 드러남에 따라 약 500개의 scratch 가 검출되었다.
-
In this work, we demonstrate 800V 4H-SiC power DMOSFETs with several structural alterations to observe static DC characteristics, such as a threshold voltage (
$V_{TH}$ ) and a figure of merit ($V_B^2/R_{SP,ON}$ ). To optimize the static DC characteristics, we consider four design parameters; (a) the doping concentration ($N_{CSL}$ ) of current spreading layer (CSL) beneath the p-base region, (b) the thickness of p-base ($t_{BASE}$ ), (c) the doping concentration ($N_J$ ) and width ($W_J$ ) of a JFET region, (d) the doping concentration ($N_{EPI}$ ) and thickness ($t_{EPI}$ ) of epi-layer. Design parameters are optimized using 2D numerical simulations and the 4H-SiC DMOSFET structure results in high figure of merit ($V_B^2/R_{SP,ON}$ >~$340MW/cm^2$ ) for a power MOSFET in$V_B{\sim}1200V$ range. -
Atomic force microscopy (AFM) fabrication of oxide patterns is an attractive technique for nanoscale patterns and related device structures, SiC exhibits good performance in high-power, high-frequency, and high-temperature conditions that is comparable to the performance of Si. The AFM fabrication of oxide patterns on SiC is important for electronic applications. However, there has not been much reported investigations on oxidation of SiC using AFM. We achieved the local oxidation of 4H-SiC using the high loading force of ~100 nN, although the oxidation of SiC is generally difficult mainly due to the physical hardness and chemical inactivity. All the experiments were performed using atomic force microscopy (S.I.S. GmbH, Germany) with a Pt/Ir-coated Si tip at ~40% humidity and room temperature. The spring constant and resonance frequency of the tip were around ~3 N/m and ~70 kHz. We fabricated oxide patterns on n-type 4H-SiC (
$\sim10^{19}/cm^3$ ) and n-type Si ($\sim1.9\times10^{16}/cm^3$ ). In summary, we demonstrated that the oxide patterns can be obtained over the electric field of${\sim}\times10^7 V/cm$ and the high loading force using the tip as a cathode. The electric field transports the oxyanions (OH-) to the positively biased surface. -
This paper describes a procedure developed to fabricate negative photo resist SUMS to a semi-insulating (SI)-GaAs-based substrate. SU-8 is attractive for micromachine multi-layer circuit fabrication, because it is photo-polymerizable resin, leading to safe, and economical processing. This work demonstrates SUMS photo resist can be used for RFIC/MMIC application.
-
In this study, we studied the nature of thin films formed by photodoping chalcogenide materials with for use in programmable metallization cell devices, a type of ReRAM. We investigated the resistance of Ag-doped chalcogenide thin films varied in the applied voltage bias direction from about
$1\;M{\Omega}$ to several hundreds of$\Omega$ . As a result of these resistance change effects, it was found that these effects agreed with PMC-RAM. The results imply that a Ag-rich phase separates owing to the reaction of Ag with free atoms from the chalcogenide materials. -
In other to progress better crystallization transition and long phase-transformation data of phase-change memory (PRAM), we investigated about the effect of Sb doping and Ag ions percolating into Ge-Se-Te phase-change material. Doped Sb concentrations was determined each of 10 wt%, 20 wt% and 30 wt%. As the Sb-doping concentration was increased, the resistivity decreased and the crystallization temperature increased. Ionization of Ag was progressed by DPSS laser (532 nm) for 1 hour. The resistivity was more decreased and the crystallization temperature was more increased in case of adding Ag layer under Sh-(Ge-Se-Te) thin film. At the every condition of thin films included Ag layer more stable states were indicated compare with just Sh-doped Ge-Se-Te thin films.
-
Si 도핑한
$Ge_2Sb_2Te_5$ 박막은 비정질상에서의 열적 안정성증가, fcc에서 hex상으로의 상전이 억제, 활성화 에너지 증가 등의 특성을 보인다. 본 연구에서는 Si 도핑에 의한$Ge_2Sb_2Te_5$ 박막의 전기적 그리고 구조적인 특성에 관한 실험을 진행하였다. 실험에 사용된 Si 도핑$Ge_2Sb_2Te_5$ 박막은 Si 기판 위에 radio frequency power supply를 사용해 Si과$Ge_2Sb_2Te_5$ 타겟을 co-sputtering하여 증착하였다. Si의 sputtering 파워를 달리하여 실리콘의 농도를 다르게 증착 하였고 X-ray photoelectron spectroscopy (XPS)를 사용하여 박막의 Si 농도를 측정하였다. 증착된 박막은 질소 분위기 하에서$5\;^{\circ}C$ /min으로 열처리 하여 여러 온도와 Si 농도에서의 박막의 특성을 측정하였다. 열처리 전, 후의 박막은 X-ray diffraction (XRD) 분석을 통하여 각각의 온도에서의 구조적 특성을 분석하였다. 열처리 온도에 따르는 필름의 전기적 특성 파악을 위해서 four-point probe를 이용하여 박막의 면저항을 측정하였고 그 값은 3 회 이상 측정하여 평균값을 사용하였다. Nano-pulse scanner를 사용하여 다양한 파워범위와 펄스폭 범위에서의 박막의 상변화에 따른 반사도 차이를 측정하여 각 조성에서의 비정질-결정질상 변화속도를 분석하였다. -
칼코게나이드(chalcogenide)계 비정질 반도체는 그들의 독특한 광학적, 전기적 특성 때문에 활발한 연구가 진행되고 있다. 비정질 Se는 유연한 원자구조로 인해 가장 먼저 광학적으로 상용화되었으며, 응용성이 매우 큰 반도체 재료중 하나로 Ge-Se와 같이 Se를 기본으로 한 칼코게나이드 유리 반도체가 주목받고 있다. 따라서 본 연구에서는 비정질 칼코게나이드
$Ge_xSe_{100-x}$ (x=25,95) 조성에 대한 광학적 특성을 연구 하였다. 시료는 5N의 순도를 갖는 Ge, Se 물질을 준비하고 조성비에 맞추어서 석영관에 진공 봉입한 후 용융 혼합하여$Ge_{25}Se_{75}$ 와$Ge_{95}Se_5$ 조성의 두 가지 비정질 벌크를 제작하였다. 열증착 방법으로 유리 기판위에 박막을 제작하였고 UV-vis-NIR spectrophotometer를 사용하여 투과도를 측정하였다. 측정한 스펙트럼을 이용하여 Swanpoel method로 굴절률을 계산하고 특성을 분석하였다. -
Ha, Tae-Kyung;Kim, Dong-Pyo;Woo, Jong-Chang;Um, Doo-Seung;Yang, Xue;Joo, Young-Hee;Kim, Chang-Il 73
트렌지스터의 채널 길이가 줄어듦에 따라 절연층으로 쓰이는$SiO_2$ 의 두께는 얇아져야 한다. 이에 따라 얇아진 절연층에서 터널링이 발생하여 누설전류가 증가하게 되어 소자의 오동작을 유발한다. 절연층에서의 터널링을 줄여주기 위해서는 High-K와 같은 유전율이 높은 물질을 이용하여 절연층의 두께를 높여주어야 한다. 최근에 각광 받고 있는 High-K의 대표적인 물질은$HfO_2$ ,$ZrO_2$ 와$Al_2O_3$ 등이 있다.$HfO_2$ ,$ZrO_2$ 와$Al_2O_3$ 는$SiO_2$ 보다 유전상 수는 높지만 밴드갭 에너지, 열역학적 안정성, 재결정 온도와 같은 특성 면에서$SiO_2$ 를 완전히 대체하기는 어려운 실정이다. 최근 연구에 따르면 기존의 High-K물질에 금속을 첨가한 금속산화물의 경우 밴드갭 에너지, 열역학적 안정성, 재결정 온도의 특성이 향상되었다는 결과가 있다. 이 금속 산화물 중$HfAlO_3$ 가 대표적이다.$HfAlO_3$ 는 유전상수 18.2, 밴드캡 에너지 6.5 eV, 재결정 온도$900\;^{\circ}C$ 이고 열역학적 안전성이 개선되었다. 게이트 절연층으로 사용될 수 있는$HfAlO_3$ 는 전극과 기판사이에 적층구조를 이루고 있어, 이방성 식각인 건식 식각에 대한 연구가 필요하다. 본 연구는$BCl_3$ /Ar 유도결합 플라즈마를 이용하여$HfAlO_3$ 박막의 식각 특성을 알아보았다. RF Power 700 W, DC-bias -150 V, 공정압력 15 mTorr, 기판온도$40\;^{\circ}C$ 를 기본 조건으로 하여,$BCl_3$ /Ar 가스비율, RF Power, DC-bias 전압, 공정압력에 의한 식각율 조건과 마스크물질과의 선택비를 알아보았다. 플라즈마 분석은 Optical 이용하여 진행하였고, 식각 후 표면의 화학적 구조는 X-ray Photoelectron Spectroscoopy(XPS) 분석을 통하여 알아보았다. -
Park, Jung-Soo;Kim, Dong-Pyo;Um, Doo-Seung;Woo, Jong-Chang;Heo, Kyung-Moo;Wi, Jae-Hyung;Kim, Chang-Il 74
Titanium nitride has been used as hardmask for semiconductor process, capacitor of MIM type and diffusion barrier of DRAM, due to it's low resistivity, thermodynamic stability and diffusion coefficient. Characteristics of the TiN film are high intensity and chemical stability. The TiN film also has compatibility with high-k material. This study is an experimental test for better condition of TiN film etching process. The etch rate of TiN film was investigated about etching in$BCl_3/Ar/O_2$ plasma using the inductively coupled plasma (ICP) etching system. The base condition were 4 sccm$BCl_3$ /16 sccm Ar mixed gas and 500 W the RF power, -50 V the DC bias voltage, 10 mTorr the chamber pressure and$40\;^{\circ}C$ the substrate temperature. We added$O_2$ gas to give affect etch rate because$O_2$ reacts with photoresist easily. We had changed$O_2$ gas flow rate from 2 sccm to 8 sccm, the RF power from 500 W to 800 W, the DC bias voltage from -50 V to -200 V, the chamber pressure from 5 mTorr to 20 mTorr and the substrate temperature from$20\;^{\circ}C$ to$80\;^{\circ}C$ . -
반도체 및 전자기기 산업에 있어 비활성메모리 (NVM)는 중요한 부운을 차지한다. NVM은 디스플레이 분야에 많은 기여를 하고 있으며, 특히 AMOLED에 적용이 가능하여 온도에 따라 변하는 구동 전류, 휘도, color balance에 따른 문제를 해결하는데 큰 역할을 한다. 본 연구는 NNN 구조에서 터널 층을
$SiN_X$ 박막에서$SiO_XN_Y$ 박막으로 대체하기위한$SiO_XN_Y$ 박막을 이용한 NNO구조의 NVM에 관한 연구이다. 이로 인하여 보다 얇으면서 우수한 절연 특성을 가지는 박막을 사용함으로써 실리콘 층으로부터 전하의 터널링 효과를 높여 전하 저장 정도를 높이고, 메모리 retention 특성을 향상시키는 터널 박막을 성장 시킬 수 있다. 최적의 NNO 구조의 메모리 소자를 제작하기 위하여 MIS 상태로 다양한 조건의 실험을 진행하였다. 처음으로 블로킹 박막의 두께를 조절하는 실험을 진행하여 최적 두께의 블로킹 박막을 찾았으며, 다음으로 전하 저장 박막의 band gap을 조절하여 최적의 band gap을 갖는$SiN_X$ 박막을 찾았다. 마지막으로 최적두께의$SiO_XN_Y$ 박막을 찾는 실험을 진행하였다. MIS 상태에서의 최적의 NNO 구조를 이용하여 유리 기판 상에 NNO 구조의 NVM 소자를 제작하였다. 제작된 메모리 소자는 문턱전압이 -1.48 V로 낮은 구동전압을 보였으며, I-V의 slope 값 역시 약 0.3 V/decade로 낮은 값을 보인다. 전류 점멸비($I_{ON}/I_{OFF}$ )는 약$5\times10^6$ 로 무수하였다.$SiN_X$ 의 band gap을 다양하게 조절하여 band gap 차이에 의한 밴드 저장 방식을 사용하였다. 또한$SiN_X$ 은 전하를 전하 포획(trap) 방식으로 저장하기 때문에 본 연구에서의 메모리 소자는 밴드 저장 방식과 전하 포획 방식을 동시에 사용하여 우수한 메모리 특성을 갖게 될 것으로 기대된다. 우수한 비휘발성 메모리 소자를 제작하기 위해 메모리 특성에 많은 영향을 주는 터널 박막과 전하 저장 층을 다양화하여 소자를 제작하였다. 터널 박막은 터널링이 일어나기 쉽도록 최대한 얇으며, 전하 저장 층으로부터 기판으로 전하가 쉽게 빠져나오지 못하도록 절연 특성이 우수한 박막을 사용하였다. 전하 저장 층은 band gap이 작으며 trap 공간이 많은 박막을 사용하였다. -
Kim, Jeong-Ho;Park, Seung-Beom;Jung, Tea-Hwan;Kim, Byeong-Guk;Song, Woo-Chang;Park, Jea-Hwan;Lim, Dong-Gun 76
CdS 박막은 에너지 밴드갭이 상온에서 2.42 eV인 직접 천이헝 반도체로써 태양전지 및 광전도 셀과 광센서 등에 널리 사용되고 있다. 본 논문에서는 유연성을 가지고 있는 PC, PET, PEN등 폴리머 기판을$O_2$ 플라즈마를 사용하고 RF Power 100W, 공정시간 60~1200s의 조건에서 전처리하고, 이 기판을 CBD법을 이용하여 CdS 박막을 증착시켰다. 폴리머 기판의 결정립크기는 180s의 처리시간 부터 증가를 보였으며, 전기적 특성은 60s 와 180s에서 이동도와 비저항이 반비례하는 경향성을 나타내었고 광투과율은 처리시간에 따른 뚜렷한 변화를 보이지 않았다. -
Han, Jae-Sung;Lee, Seok-Jin;Jung, Tae-Hwan;Kim, Jeong-Yeon;Park, Jae-Hwan;Lim, Dong-Gun;Lim, Seong-Woo 78
본 연구에서는 ZnO계 투명전극 소재를 이용하여 RFID 태그 안테나에 적용 가능성 여부를 확인하였다. Si 기판위에 RF 스퍼터링 공정에 의해 Ga-doped ZnO 투명 마이크로스트립 스파이혈 안테나를$2{\mu}m$ 를 증착하여 구현하고 그 전기적 특성을 측정하였다. HFSS 전자계 시뮬레이터를 사용하여 13.56MHz HF 주파수 대역에서 태그 안테나로서의 가능성을 검증한 후 Ga-doped ZnO 타겟을 사용한 RF 스퍼터링 공정에 의하여 스파이럴 안테나 패턴을 구현하였다. 마이크로스트립 선폭 및 선 간격을$50\sim200{\mu}m$ 때 영역에서 조절하면서 안테나 패턴을 설계하였다. S 파라메터, 자기공진주파수 및 Q값을 시뮬레이션으로부터 도출하였다. Al$2{\mu}m$ 증착한 시편에 비하여 약 -10dB 정도의 이득저하가 발생하였으나 리더-태그를 밀착시킨 조건에서 1.7V (13.56MHz) 전압검출이 가능하였다. -
Kim, Kwi-Junga;Jeong, Shin-Woo;Han, Hui-Seong;Han, Dae-Hee;Jeon, Ho-Seung;Im, Jong-Hyun;Park, Byung-Eun 80
Recently, multiferroics have attracted much attention due to their numorous potentials. In this work, we attemped to utilize the multiferroics as an alternative material for ferroelectrics. Ferroelectric materials have been stadied to ferroelectric random access memories, however, some inevitable problems prevent it from inplementation. multiferroics shows a ferroelectricity and has low process temperature$BiFeO_3$ (BFO) films have good ferroelectric properties but poor leakage characterization. Thus we tried, in this work, to adopt$HfO_2$ insulating layer for metal-ferroelectric-insulator-semiconductor(MFMIS) structure to surpress to leakage current.$BiFeO_3$ (BFO) thin films were fabricared by using a sol-gel method on$HfO_2/Si$ structure. Ferroelectric BFO films on a p-type Si(100)wafer with a$HfO_2$ buffer layer have been fabricated to form a metal-ferroelectric-insulator-semiconductor (MFIS) structure. The$HfO_2$ insulator were deposited by using a sol-gel method. Then, they were carried out a rapid thermal annealing(RTA) furnace at$750\;^{\circ}C$ for 10 min in$N_2$ . BFO films on the$HfO_2/Si$ structures were deposited by sol-gel method and they were crystallized rapid thermal annealing in$N_2$ atomsphere at$550\;^{\circ}C$ for 5 min. They were characterized by atomic force microscopy(AFM) and Capacitance-voltage(C-V) curve. -
반도체 산업의 중심 소재인 실리콘(Si)은 사용 목적과 환경에 따라 물성적 한계가 표출되기 시작했다. 그래서 각각의 목적에 맞는 재료의 개발이 필요하다는 것을 인식하게 되었다. SiC wafer는 큰 band gap energy와 고온 안정성, 캐리어의 높은 드리프트 속도 그리고 p-n 접합이 용이하다. 또한 소재 자체가 화학적으로 안정하고
$500\sim600^{\circ}C$ 에서 소자 제조 시 고온공정이 가능하며, 실리콘이나 GaAs에 비해 고출력을 낼 수 있는 재료이다. 반도체 소자로 이용하기 위한 wafer 가공 공정에 있어 물리적 힘에 의한 stress를 많이 받아 wafer가 휘는 현상이 생긴다. 반도체 소자의 기본이 되는 wafer가 휨 현상을 일으키면 wafer 위에 소자가 올라갈 경우 소자의 불균일성 때문에 반도체의 물성에 나쁜 영향을 미치게 된다. 그래서 반도체 소자의 기본이 되는 wafer의 휨 현상 개선이 중요하다. 본 연구에서는 산화로에서 Ar 분위기에서 압력 760torr, 온도$1100^{\circ}C$ 부근에서의 조건으로 진행을 하여 wafer의 Flatness Tester(FT-900, NIDEK) 장비로 SORI, BOW, GBIR 값의 변화에 초점을 맞추었다. SiC 단결정을 sawing후 가공 전 wafer를 열처리하여 가공을 진행하는 것과 열처리 하지 않은 wafer의 SORI, BOW, GBIR 값 비교, 그리고 lapping, grinding, polishing 등의 가공 진행 중간 중간에 열처리를 하여 진행하는 것과 가공 진행 중간 중간에 열처리를 하지 않고 진행한 wafer의 SORI, BOW, GBIR 값의 비교를 통해 wafer의 휨 현상 개성에 관해 알아본다. -
현재 국내에는 많은 가구들이 전기를 사용하고 있다. 아파트, 주택에 들어가는 전기 시스템들이 많이 발전하였지만 뜻하지 않은 사고로 인해 정전이 되는 경우가 있다. 정전시에는 아파트 같은 경우는 비상등, 엘리베이터 등 최소한의 장치만이 작동하도록 되어있다. 그러므로 각 세대에는 전기가 들어가지 않는다. 우리나라 경우에는 태풍이나 여름 같은 경우에는 전기를 많이 사용하기 때문에 발전기가 과부화 걸리는 현상이 생기기도 한다. 장기간 정전시에 가장 문제가 되는 기기는 냉장고, 전등이 될 것이다. 냉장고 같은 경우는 음식들이 상하게 되고, 전등 같은 경우에는 밤에 활동하는데 지장을 주게 된다. 따라서 본 논문에서는 정전시에는 자동적으로 비상발전기의 전원을 사용하고, 상시에는 다시 한전의 전원을 사용하게 하는 초소형 자동 스위칭 전원 모듈을 설계 제작한 논문이다. 설계된 스위칭 모듈에 대해서 시뮬레이션한 결과 예상한대로 비상시에 자동적으로 스위칭되는 결과를 알 수 있었다.
-
We studied about the effect of newly developed etchant for spacer etch process in gate patterning. With the 110nm CMOS technology, first, we changed the gate pattern size and investigated the variation of spacer etch profile according to the difference in gate length. Second, thickness of spacer nitride was changed and effect of etch ant on difference in nitride thickness was observed. In addition to these, spacer etch power was added as test item for variation of etch profile. We investigated the etch profiles with SEM and TEM analysis was used for plasma damage check. With these results we could check the process margins for gate patterning which could hold best performance and choose the condition for best spacer etch profile.
-
The single crystals of p-
$CdIn_2Te_4$ were grown by the Bridgman method without the seed crystal. From photocurrent measurements, it was found that three peaks, A, B, and C, correspond to the intrinsic transition from the valence band states of$\Gamma_7(A)$ ,$\Gamma_6(B)$ , and$\Gamma_7(C)$ to the conduction band state of$\Gamma_6$ , respectively. The crystal field splitting and the spin orbit splitting were found to be 0.2360 and 0.1119 eV, respectively, from the photocurrent spectroscopy. The temperature dependence of the$CdIn_2Te_4$ band gap energy was given by the equation of$E_g(T)=E_g(0)$ -$(9.43\times10^{-3})T^2$ /(2676+T).$E_g(0)$ was estimated to be 1.4750, 1.7110, and 1.8229 eV at the valence band states of A, B, and C, respectively. The band gap energy of$p-CdIn_2Te_4$ at room temperature was determined to be 1.2023 eV. -
High quality
$CuInSe_2$ (CIS) were grown on GaAs substrate by using the hot wall epitaxy method. The behavior of point defects in the CIS layer investigated by using photoluminescence (PL) at 10 K. Point defects originating from$V_{Cu}$ ,$V_{Se}$ ,$Cu_{int}$ , and$Se_{int}$ were classified as donor or acceptor types. These PL results also led us to confirm that the p-type CIS layer had obviously converted into n-type after the Cu atmosphere treatment. Finally, we found that the In in the CIS layer did not form the native defects, because In existed in the form of stable bonds in the CIS layer. -
In this paper, we investigated about wet cleaning effect as deep trench formation methods for Power chip devices. Deep trench structure was classified by two methods, PSU (Poly Stick Up) and Non-PSU structure. In this paper, we could remove residue defect during wet. cleaning after deep trench etch process for non-PSU structure device as to change wet cleaning process condition. V-SEM result showed void image at the trench bottom site due to residue defect and residue component was oxide by EDS analysis. In order to find the reason of happening residue defect, we experimented about various process conditions. So, defect source was that oxide film was re-deposited at trench bottom by changed to hydrophobic property at substrate during hard mask removal process. Therefore, in order to removal residue defect, we added in-situ SCI during hard mask removal process, and defect was removed perfectly. And WLR (Wafer Level Reliability) test result was no difference between normal and optimized process condition.
-
We study of the variation on non-uniform plasma in different layer of the semiconductor. The transient response in different plasma layer has been evaluated theoretically. The reflection function of dielectric microstrip lines resulting from the presence of plasma are evaluated by the transmission line model. The diffusion length is small compared to the absorption depth. The variation of characteristic response in plasma layer with microwave pulses which has in localized has been evaluated.
-
본 논문에서는 strained Silicon-on-Insulator (sSOI) 기판에 제작된 triple-gate MOSFETs 의 이동도와 단채널 효과에 대하여 분석 하였다. Strained 실리콘에 제작된 소자는 전류의 방향이 <110> 밤항일 경우 전자의 이동도는 증가하나 정공의 이동도는 오히려 감소하는 문제점이 있다. 이를 극복하기 위하여 소자에서 전류의 방향이 <110>방향에서 45 도 회전된 <100> 방향으로 흐르게 제작하였다. Strain이 가해지지 않은 기판에 제작된 동일한 구조의 소자와 비교하여 sSOI 에 제작된 소자에서 전자의 이동도는 약 40% 정공의 이동도는 약 50% 증가하였다. 채널 길이가 100 nm 내외로 감소함에 따라 나타나는 drain induced barrier lowering (DIBL) 현상, subthreshold slope (SS)의 증가 현상에서 sSOI에 제작된 소자가 상대적으로 우수한 특성을 보였으며 off-current leakage (
$I_{off}$ ) 특성도 sSOI기판이 더 우수한 특성을 보였다. -
The influences of
$O_2$ partial pressure on electrical properties of transparent semiconducting indium zinc tin oxide thin films deposited at room temperature by magnetron sputtering have been investigated. The experimental results show that by varying the$O_2$ partial pressure during deposition, electron mobilities of IZTO thin film can be controlled between 7 and$25\;cm^2/Vs$ . For conducting films, the carrier concentration and resistivity are${\sim}\;10^{21}\;cm^{-3}$ and${\sim}\;10^{-4}\;{\Omega}\;cm$ , respectively. Concerning semiconducting films, under 12%$O_2$ partial fraction, the electron concentration is$10^{18}\;cm^{-3}$ , showing the promising candidate for the application of transparent thin film transistors. -
A fully depleted capacitorless 1-transistor dynamic random access memory (FD 1T-DRAM) based on a sSOI strained-silicon-on-insulator) wafer was investigated. The fabricated device showed excellent electrical characteristics of transistor such as low leakage current, low subthreshold swing, large on/off current ratio, and high electron mobility. The FD sSOI 1T-DRAM can be operated as memory device by the floating body effect when the substrate bias of -15 V is applied, and the FD sSOI 1T-DRAM showed large sensing margin and several milli seconds data retention time.
-
Park, Goon-Ho;You, Hee-Wook;Oh, Se-Man;Kim, Min-Soo;Jung, Jong-Wan;Lee, Young-Hie;Chung, Hong-Bay;Cho, Won-Ju 97
Tunneling barrier engineered charge trap flash (TBE-CTF) memory capacitor were fabricated using the tunneling barrier engineering technique. Variable oxide thickness (VARIOT) barrier and CRESTED barrier consisting of thin$SiO_2$ and$Si_3N_4$ dielectrics layers were used as engineered tunneling barrier. The charge trapping characteristic with different metal gates are also investigated. A larger memory window was achieved from the TBE-CTF memory with high workfunction metal gate. -
SGOI 1T-DRAM cells with various Ge mole fractions were fabricated and compared to the SOI 1T-DRAM cell. SGOI 1T-DRAM cells have a higher leakage current than SOI 1T-DRAM cell at subthreshold region. The leakage current due to crystalline defects and interface states at Si/SiGe increased with Ge mole. This phenomenon causes sensing margin and the retention time of SGOI 1T-DRAMs decreased with increase of Ge mole fraction.
-
SGOI MOSFETs with various Ge mole fractions were fabricated and compared to the SOI MOSFET. SGOI MOSFETs have a lager drain current and higher effective mobility than the SOI MOSFET as increased Ge mole fractions. The lattice constant difference causes lattice mismatch between the SiGe layer and the top-Si layer during the top-Si layer growth. However, SGOI MOSFETs have a lager leakage current at subthreshold region. Also, leakage current at subthreshold region increased with Ge mole fractions. This is attributable to the crystalline defects due to the lattice mismatch between the SiGe layer and the top-Si layer.
-
본 논문에서는 기존의 GST(GeSbTe=2:2:5)와 비교하여 상변화 재료로서의 Ga 도핑된
$Ge_2Sb_2Te_5$ 의 가능성을 확인하고자 하였다. 실험에 사용된 Ga 도핑된$Ge_2Sb_2Te_5$ 박막은 전통적 melt-quenching 방법에 의해 비정질로 제작된 벌크를 Thermal evaporation을 통하여 Si(100) 및 유리 (coming glass, 7059) 기판 위에 200nm의 두께로 증착하여 제작하였다. 각 박막의 상변화 특성은 여러 온도에서 열처리된 박막을 X-ray diffraction (XRD) 측정을 통하여 확인하였다. 각 조성 박막의 비정질-결정질 상변화속도 비교를 위하여 나노-펄스 스캐너 (nano-pulse scanner)를 사용하여 power; 1~17mW, pulse duration; 10~460ns 범위에서 박막의 상변화에 따른 반사도 차이를 측정 분석하였다. Ga의 도핑농도에 따른 전기적 특성 차이를 확인하기 위하여 4-point probe를 이용하여 박막의 면 저항을 측정하였고 또한 hall 측정을 통하여 박막의 흘 계수, 흘 농도 및 이동도를 확인하고 Ga가 상전이에 미치는 영향에 대하여 분석하였다. -
Critical dimensions has rapidly shrunk to increase the degree of integration and to reduce the power consumption. However, it is accompanied with several problems like direct tunneling through the gate insulator layer and the low conductivity characteristic of poly-silicon. To cover these faults, the study of new materials is urgently needed. Recently, high dielectric materials like
$Al_2O_3$ ,$ZrO_2$ and$HfO_2$ are being studied for equivalent oxide thickness (EOT). However, poly-silicon gate is not compatible with high-k materials for gate-insulator. To integrate high-k gate dielectric materials in nano-scale devices, metal gate electrodes are expected to be used in the future. Currently, metal gate electrode materials like TiN, TaN, and WN are being widely studied for next-generation nano-scale devices. The TaN gate electrode for metal/high-k gate stack is compatible with high-k materials. According to this trend, the study about dry etching technology of the TaN film is needed. In this study, we investigated the etch mechanism of the TaN thin film in an inductively coupled plasma (ICP) system with$O_2/BCl_3/Ar$ gas chemistry. The etch rates and selectivities of TaN thin films were investigated in terms of the gas mixing ratio, the RF power, the DC-bias voltage, and the process pressure. The characteristics of the plasma were estimated using optical emission spectroscopy (OES). The surface reactions after etching were investigated using X-ray photoelectron spectroscopy (XPS) and auger electron spectroscopy (AES). -
$ZrO_2$ is one of the most attractive high dielectric constant (high-k) materials. As integrated circuit device dimensions continue to be scaled down, high-k materials have been studied more to resolve the problems for replacing the EY31conventional$SiO_2$ .$ZrO_2$ has many favorable properties as a high dielectric constant (k= 20~25), wide band gap (5~7 eV) as well as a close thermal expansion coefficient with Si that results in good thermal stability of the$ZrO_2/Si$ structure. In order to get fine-line patterns, plasma etching has been studied more in the fabrication of ultra large-scale integrated circuits. The relation between the etch characteristics of high-k dielectric materials and plasma properties is required to be studied more to match standard processing procedure with low damaged removal process. Due to the easy control of ion energy and flux, low ownership and simple structure of the inductively coupled plasma (ICP), we chose it for high-density plasma in our study. And the$BCl_3$ included in the gas due to the effective extraction of oxygen in the form of$BCl_xO_y$ compound In this study, the surface kinetic properties of$ZrO_2$ thin film was investigated in function of Ch addition to$BCl_3/Ar$ gas mixture ratio, RF power and DC-bias power based on substrate temperature. The figure 1 showed the etch rate of$ZrO_2$ thin film as function of gas mixing ratio of$Cl_2/BCl_3/Ar$ dependent on temperature. The chemical state of film was investigated using x-ray photoelectron spectroscopy (XPS). The characteristics of the plasma were estimated using optical emission spectroscopy (OES). Auger electron spectroscopy (AES) was used for elemental analysis of etched surface. -
In this study, We investigated the effects of substrate temperature on the electrical and optical properties of Ga-, B-codoped ZnO(GZOB) thin films. GZOB thin films were deposited on glass substrate with various substrate temperature in the range from R.T. to
$500\;^{\circ}C$ by DC magnetron sputtering. In the reslt, GZOB films at$400\;^{\circ}C$ exhibited a low resistivity value of$8.67\;{\times}\;10^{-4}\;{\Omega}-cm$ , and a visible transmission of 80% with a thickness of 300 nm. This result indicated that the addition of Ga and B in ZnO films leads to the improvement of conductivity and transparent. From the result, we can confirm the possibility of the application as transparent conductive electrodes. -
Yu, Hyun-Kyu;Lee, Jong-Hwan;Lee, Tae-Yong;Hur, Won-Young;Lee, Kyung-Chun;Shin, Hyun-Chang;Song, Joon-Tae 108
In this study we investigated the characteristics of GZOB thin film on$O_2$ plasma treated Polycarbonate substrate using DC magnetron sputtering method. In our experiments results, GZOB thin film on$O_2$ plasma treated Polycarbonate substrate showed low resistivity than As-grown GZOB thin film, and visible transmission of 85% with a thickness 400 nm. Compared with As-Grown the electrical properties of GZOB were relatively improved by$O_2$ plasma treated substrate. From these results, we could confirm the suitable GZOB thin films for transparent electrode. -
상온에서 밴드갭이 2.42 eV의 에너지를 가지며 직접 에너지 밴드갭을 갖는 고감도의 광전도체로 태양전지의 광투과 물질로 각광을 받고 있으며 광전도 cell로 연구되고 있는 CdS(Cadmium sulfide)를 용액 성장법(CBD)으로 제조하여 박막의 결정립의 향상과 박막내의 결함 등을 제거하기 위해 RTP(Rapid Thermal Process)를 이용하여 열처리 분위기
$N_2$ , 처리시간 10분을 기준으로 열처리온도 ($300\;^{\circ}C$ ,$400\;^{\circ}C$ ,$500\;^{\circ}C$ )를 변화시키며 박막의 전기적, 광학적 특성을 조사하였다. 캐리어 밀도가 급격히 낮아지고 이동도가 증가한$500\;^{\circ}C$ 에서$1.29\times10^3\;{\Omega} m$ 비저항을 나타냈다. 가시광선 영역에서 76.28%의 투과율을 보이는 특성을 나타내었다. -
Temperature dependence of the ferroelectric properties of poly(vinylidefluoride-trifluoroethylene) copolymer thin films are studied with various insulators such as
$SrTa_2O_6$ and$La_2O_3$ . Thin films of poly(vinylidene fluoridetrifluoroethylene) 75/25 copolymer were prepared by chemical solution deposition on p-Si substrate. Capacitance-voltage (C-V) and current density (J-V) behavior of the Au/P(VDF-TrFE)/Insulator/p-Si structures were studied at ($150-200\;^{\circ}C$ ) and dielectric constant of the each insulators were measured to be about 15 at$850\;^{\circ}C$ for 10 minutes. Memory window width at 5 V bias the MFIS(metal-ferroelectric-insulator-semiconductor) structure with as deposited films was about 0.5 V at high temperature ($200\;^{\circ}C$ ). And the memory window width increased as voltage increased from 1 V to 5 V. -
Silicon carbide is one of the most attractive and promising wide band-gap semiconductor material with excellent physical properties and huge potential for electronic applications. Up to now, the most successful method for growth of large SiC crystals with high quality is the physical vapor transport (PVT) method [1, 2]. Since further reduction of defect densities in larger crystal are needed for the true implementation of SiC devices, many researchers are focusing to improve the quality of SiC single crystal through the process modifications for SiC bulk growth or new material implementations [3, 4]. It is well known that for getting high quality SiC crystal, source materials with high purity must be used in PVT method. Among various source materials in PVT method, a SiC powder is considered to take an important role because it would influence on crystal quality of SiC crystal as well as optimum temperature of single crystal growth, the growth rate and doping characteristics. In reality, the effect of powder on SiC crystal could definitely exhibit the complicated correlation. Therefore, the present research was focused to investigate the quality difference of SiC crystal grown by conventional PVT method with using various SiC powders. As shown in Fig. 1, we used three SiC powders with different particles size. The 6H-SiC crystals were grown by conventional PVT process and the SiC seeds and the high purity SiC source materials are placed on opposite side in a sealed graphite crucible which is surrounded by graphite insulation[5, 6]. The bulk SiC crystal was grown at
$2300^{\circ}C$ of the growth temperature and 50mbar of an argon pressure. The axial thermal gradient across the SiC crystal during the growth is estimated in the range of$15\sim20^{\circ}C/cm$ . The chemical etch in molten KOH maintained at$450^{\circ}C$ for 10 min was used for defect observation with a polarizing microscope in Nomarski mode. Electrical properties of bulk SiC materials were measured by Hall effect using van der Pauw geometry and a UV/VIS spectrophotometer. Fig. 2 shows optical photographs of SiC crystal ingot grown by PVT method and Table 1 shows electrical properties of SiC crystals. The electrical properties as well as crystal quality of SiC crystals were systematically investigated. -
Current sensing in power semiconductors involves sensing of over-current in order to protect the device from harsh conditions. This technique is one of the most important functions in stabilizing power semiconductor device modules. The Power MOSFET is very efficient method with low power consumption, fast sensing speed and accuracy. In this paper, we have analyzed the characteristics of proposed sense FET and optimized its electrical characteristics to apply conventional 40 V power MOSFET by numerical and simulation analysis. The proposed sense FET has the n-drift doping concentration
$1.5\times10^{14}\;cm^{-3}$ , size of$600\;{\mu}m^2$ with$4.5\;{\Omega}$ , and off-state leakage current below$50\;{\mu}A$ . We offer the layout of the proposed Power MOSFET to process actually. The offerd design and optimization methods are meaningful, which the methods can be applied to the power devices having various breakdown voltages for protection. -
This paper focuses on improving organic thin film transistor (OTFT) characteristics by controlling the self-organization of pentacene molecules with an alignable high-dielectric-constant film. The process, based on the growth of pentacene film through high-vacuum sublimation, is a method of self-organization using ion-beam (IB) bombardment of the
$HfO_2/Al_2O_3$ surface used as the gate dielectric layer. X-ray photoelectron spectroscopy indicates that the IB raises the rate of the structural anisotropy of the$HfO_2/Al_2O_3$ film, and X-ray diffraction patterns show the possibility of increasing the anisotropy to create the self-organization of pentacene molecules in the first polarized monolayer. -
최근 고집적화 구조는 저항(resistance)과 정전용량 (capacitance)에 의한 신호 지연 (RC delay) 증가로 인한 혼선 (cross-talk noise)과 전력소모 (power dissipation)등의 문제를 발생시킨다. 칩 성능에 영향을 미치는 제한인자를 최소화하기 위해서는 저저항 배선 금속과 저유전상수 (low-k)의 층간 절연막 (IMD, intermetal dielectric) 물질이 필요하다. 최근 PECVD (plasma enhanced chemical vapor deposition)를 이용하여 증착시킨 유기살리케이트 (OSG, organosilicate glass)는 가장 유망한 저유전상수 물질로 각광받고 있다. 본 연구에서는 제일원리 연구를 통하여 OSG의 전구체 중에 하나인 DEMS 문자를 모델링하고, 에너지적으로 가장 안정한 구조를 찾아서 각 원자 간의 결합에 따른 해리에너지 (dissociation energy)를 계산하고, DEMS가 H-terminated Si 표면과 반응하는 기구에 대해 고찰하였다. 최적화된 DEMS 분자의 구조를 찾았고 DEMS 분자가 결합이 깨져 조각 분자군으로 될 때의 에너지들을 계산하였다. 계산된 해리에너지로부터 DEMS 분자의 O 원자와 C분자의 결합이 깨져서
$C_2H_5$ 를 조각 분자군으로 생성할 확률이 총 8가지의 경우에서 가장 높다는 것을 알 수 있었다. 8 가지의 해리된 DEMS 조각 분자군들이 H-terminated Si 표면과 반응할 때의 반응에너지를 계산한 결과 표면의 Si 원자와 DEMS 분자에서$C_2H_5$ 가 해리되어 생성된 조각 분자군의 O 원자가 결합을 하고 부산물로$C_2H_6$ 를 생성하는 반응이 가장 선호된다는 것을 알 수 있었다. DEMS 분자로 증착시킨 OSG에 대하여 제일원리법을 이용하여 계산한 연구는 보고된 바 없기 때문에, DEMS 분자의 각 원자 간의 해리에너지와 Si 기판과의 반응에너지는 추후 연구개발의 중요한 기초 자료가 될 수 있다. -
This paper presents the feature profile evolution silicon deep trench etching, which is very crucial for the commercial wafer process application. The silicon deep trenches were etched with the SF6 gas & Hbr gas based process recipe. The optimized silicon deep trench process resulted in vertical profiles (87o~90o) with loading effect of < 1%. The process recipes were developed for the silicon deep trench etching applications. This scheme provides vertically profiles without notching of top corner was observed. In this study, the production of SF6 gas based silicon deep trench etch process much more strongly than expected on the basis of Hbr gas trench process that have been investigated by scanning electron microscope (SEM). Based on the test results, it is concluded that the silicon deep trench etching shows the sufficient profile for practical MOS FET silicon deep trench technology process.
-
Single crystalline
$ZnIn_2Se_4$ layers were grown on thoroughly etched semi-insulating GaAs(100) substrate at$400^{\circ}C$ with hot wall epitaxy (HWE) system by evaporating,$ZnIn_2Se_4$ source at$630^{\circ}C$ . After the as-grown$ZnIn_2Se_4$ single crystalline thin films was annealed in Zn-, Se-, and In-atmospheres, the origin of point defects of$ZnIn_2Se_4$ single crystalline thin films has been investigated by the photoluminescence(PL) at 10 K The native defects of$V_{Zn}$ ,$V_{Se}$ ,$Zn_{int}$ and$Se_{int}$ obtained by PL measurements were classified as a donors or acceptors type. And we concluded that the heat-treatment in the Se-atmosphere converted$ZnIn_2Se_4$ single crystalline thin films to an optical p-type. Also, we confirmed that In in$ZnIn_2Se_4$ /GaAs did not form the native defects because In in$ZnIn_2Se_4$ single crystalline thin films existed in the form of stable bonds. -
최근 PC의 성능이 향상되면서, 고성능의 전원공급 장치가 요구되고 있다. 특히 CPU에 대전력을 공급하는 싱크로너스 벅 컨버터는 파워 MOSFET을 구동하기 위해 별도의 구동 IC가 필요하다. 본 논문은 adaptive 지연을 이용하여 파워 MOSFET을 구동하는 싱크로너스 벅 구동 IC를 설계하였다. 고정밀도의 밴드캡 기준회로와 비교기를 이용하여 30 ns의 adaptive 지연을 생성하며, 전력소모를 줄이기 위해 저전압에서 동작하는 UVLO(under voltage lock out)를 설계하였다. 또한 상단 파워 MOSFET을 구동하기 위하여 부트스트랩 방식을 이용하며, 부트스트랩 다이오드를 IC 내부에 내장하여 컨버터의 설계비용을 줄였다. 설계한 구동 IC의 동작 전압 범위는 8 V - 15 V이며, 출력 전류는 최대 2A이다. 싱크로너스 벅 구동 IC는
$0.5\;{\mu}m$ BiCMOS(Bipolar-CMOS) 공정 파라미터를 사용하여 설계되었으며, 시뮬레이션은 Cadence사의 Spectre를 이용하였다. -
현재 전자기기의 소형화 추세에 맞물려 수동 소자중의 하나인 트랜스포머의 소형화가 큰 이슈가 되고 있다. 본 논문에서는 실리콘 웨이퍼 위에 트랜스포머와의 절연층을 삽입 하여 기판의 에디 커런트로 오는 멤돌이 손실의 영향을 줄이고 중간 코어를 투자율이 높은 퍼멀로이로 설계하여 1차 코일과 2차 코일의 턴수의 비를 기준으로 1:1 인 트랜스포머 (3/3, 5/5, 7/7)의 인덕턴스 및 상호 인덕턴스를 시뮬레이션 하였다. 트랜스포머의 최대 크기는
$1mm\;{\times}\;1.95mm$ 이고 선폭과 선간 간격, post의 높이는 각각 50um 이다. 이때 삽입 손실은 10GHz에서 0.12dB의 낮은 손실 값을 나타내었다. -
유기물 강유전체 재료를 이용한 비휘발성 메모리에 대한 연구가 활발하게 진행되고 있다. 현재까지 알려진 대표적인 재료는 P(VDF-TrFE)이다. P(VDF-TrFE)는 결정화 온도가 낮기 때문에 저온공정이 가능하여 향 후 플렉서블 소자 응용에도 유망하다. 최근의 연구결과에서는 고유전율의 절연층을 삽입함으로써 누설전류를 감소시켜, 저전압에서 우수한 강유전성이 얻어질이 보고되고 있다. 본 논문에서는 P(VDF-TrFE)의 조성 변화를 통하여 최적의 강유전성이 얻어지는 조건을 찾고자 노력하였으며, 조성 변화에 따른 구조적, 전기적 특성에 대하여 보고한다.
-
Noninvasive plasma diagnostic technique is introduced to analyze and characterize HICP (Helmholtz Inductively Coupled Plasma) source during the plasma etching process. The HICP reactor generates plasma mainly through RF source power at 13.56MHz RF power and RF bias power of 12.56MHz is applied to the cathode to independently control ion density and ion energy. For noninvasive sensors, the RF sensor and the OES (Optical emission spectroscopy) were employed since it is possible to obtain both physical and chemical properties of the reactor with plasma etching. The plasma impedance and optical spectra were observed while altering process parameters such as pressure, gas flow, source and bias power during the poly silicon etching process. In this experiment, we have found that data measured from these noninvasive sensors can be correlated to etch results. In this paper, we discuss the relationship between process parameters and the measurement data from RF sensor and OES such as plasma impedance and optical spectra and using these relationships to analyze and characterize H-ICP source.
-
CCP방식의 식각에 있어서 CF4/O2 Plasma Etch에 Ar을 첨가함으로써 Etch특성이 어떻게 변화하는지를 조사하였다. FE-SEM를 이용하여 Etch Profile를 측정하였다. 또한 Elipsometer와 Nanospec을 이용하여 Etch rate를 측정하였다. Ar의 비율이 전체의 47%정도를 차지하였을 때까지 Etch Profile이 향상되었다가 그이후로는 다시 감소하는 것을 볼 수 있었다. Ar을 첨가할수록 etch rate은 계속 향상되었다. Ar을 첨가하는 것은 물리적인 식각으로 반응하여 Etch rate의 향상과 적정량의 Ar을 첨가했을 때 Etch profile이 향상되는 결과를 얻었다.
-
The P/E characteristics of
$HfO_2$ CTF memory capacitor with$SiO_2/HfO_2/Al_2O_3$ (OHA) engineered tunnel barrier were investigated. After a growth of thermal oxide with a thickness of 2 nm, 1 nm$HfO_2$ and 3$Al_2O_3$ layers were deposited by atomic layer deposition (ALD) system. The band offset was calculated by analysis of conduction mechanisms through Fowler-Nordheim (FN) plot and Direct Tunneling (DT) plot. Moreover the PIE characteristics of$HfO_2$ CTF memory capacitor with OHA tunnel barrier was presented. -
Silicon Carbide (SiC) is a material with a wide bandgap (3.26eV), a high critical electric field (~2.3MV/cm), a and a high bulk electron mobility (
$\sim900cm^2/Vs$ ). These electronic properties allow high breakdown voltage, high-speed switching capability, and high temperature operation compared to Si devices. Although various SiC DMOSFET structures have been reported so far for optimizing performances, the effect of channel dimension on the switching performance of SiC DMOSFETs has not been extensively examined. This paper studies different channel dimensons ($L_{CH}$ :$0.5{\mu}m$ ,$1\;{\mu}m$ ,$1.5\;{\mu}m$ ) and their effect on the the device transient characteristics. The key design parameters for SiC DMOSFETs have been optimized and a physics-based two-dimensional (2-D) mixed device and circuit simulator by Silvaco Inc. has been used to understand the relationship. with the switching characteristics. To investigate transient characteristic of the device, mixed-mode simulation has been performed, where the solution of the basic transport equations for the 2-D device structures is directly embedded into the solution procedure for the circuit equations. We observe an increase in the turn-on and turn-off time with increasing the channel length. The switching time in 4H-SiC DMOSFETs have been found to be seriously affected by the various intrinsic parasitic components, such as gate-source capacitance and channel resistance. The intrinsic parasitic components relate to the delay time required for the carrier transit from source to drain. Therefore, improvement of switching speed in 4H-SiC DMOSFETs is essential to reduce the gate-source capacitance and channel resistance. -
A 2D-simulation using a quantum model of silicon nanowire (SiNW) field-effect transistors (FETs) have been performed by the effective mass theory. We have investigated very close for real device analysis, so we used to the non-equilibrium Green's function (NEGF) and the density gradient of quantum model. We investigated I-V characteristics curve and C-V characteristics curve of the channel thickness from 5nm to 200nm. As a result of simulation, even higher drain current in SiNW using a quantum model was observed than in SiNW using a non-quantum model. The reason of higher drain current can be explained by the quantum confinement effect.
-
You, Hee-Wook;Kim, Min-Soo;Park, Goon-Ho;Oh, Se-Man;Jung, Jong-Wan;Lee, Young-Hie;Chung, Hong-Bay;Cho, Won-Ju 133
It is desirable to choose a high-k material having a large band offset with the tunneling oxide and a deep trapping level for use as the charge trapping layer to achieve high PIE (Programming/erasing) speeds and good reliability, respectively. In this paper, charge trapping and tunneling characteristics of high-k hafnium oxide ($HfO_2$ ) layer with various thicknesses were investigated for applications of tunnel barrier engineered nonvolatile memory. A critical thickness of$HfO_2$ layer for suppressing the charge trapping and enhancing the tunneling sensitivity of tunnel barrier were developed. Also, the charge trap centroid and charge trap density were extracted by constant current stress (CCS) method. As a result, the optimization of$HfO_2$ thickness considerably improved the performances of non-volatile memory(NVM). -
In this work, we report that crystallization speed as well as the electrical and optical properties about the N-doped
$Ge_2Sb_2Te_5$ thin films. The 200-nm-thick N-doped$Ge_2Sb_2Te_5$ thin film was deposited on p-type (100) Si and glass substrate by RF reactive sputtering at room temperature. The amorphous-to-crystalline phase transformation of N-doped$Ge_2Sb_2Te_5$ thin films investigated by X-ray diffraction (XRD). Changes in the optical transmittance of as-deposited and annealed films were measured using a UV-VIS-IR spectrophotometer and four-point probe was used to measure the sheet resistance of N-doped$Ge_2Sb_2Te_5$ thin films annealed at different temperature. In addition, the surface morphology and roughness of the films were observed by Atomic Force Microscope (AFM). The crystalline speed of amorphous N-doped$Ge_2Sb_2Te_5$ films were measured by using nano-pulse scanner with 658 nm laser diode (power : 1~17 mW, pulse duration: 10~460 ns). It was found that the crystalline speed of thin films are decreased by adding N and the crystalline temperature is higher. This means that N-dopant in$Ge_2Sb_2Te_5$ thin film plays a role to suppress amorphous-to-crystalline phase transformation. -
Woo, Jong-Chang;Um, Doo-Seung;Yang, Xuel;Heo, Keyong-Moo;Park, Jung-Soo;Ha, Tae-Kyung;Wi, Jae-Hyung;Joo, Young-Hee;Kim, Dong-Pyo;Kim, Chang-Il 135
The etching characteristics of Zinc Oxide (ZnO) and etch selectivity of ZnO to$SiO_2$ in$BCl_3/Ar/Cl_2$ plasma were investigated. It was found that ZnO etch rate shows a non-monotonic behavior with increasing both Ar fraction in$BCl_3$ plasma, RF power, and gas pressure. The maximum ZnO etch rate of 53 nm/min was obtained for$BCl_3$ (16 sccm)/Ar(4 sccm)/$Cl_2$ (3 sccm) gas mixture. The chemical state of etched surfaces was investigated with X-ray photoelectron spectroscopy (XPS). From these data, the suggestions on the ZnO etch mechanism were made. -
Thin-film transistors (TFTs) that can be prepared at low temperatures have attracted much attention due to the great potential for flexible electronics. One of the mainstreams in this field is the use of organic semiconductors such as pentacene. But device performance of the organic TFTs is still limited by low field effect mobility or rapidly degraded after exposing to air in many cases. Another approach is amorphous oxide semiconductors. Amorphous oxide semiconductors (AOSs) have exactly attracted considerable attention because AOSs were fabricated at room temperature and used lots of application such as flexible display, electronic paper, large solar cells. Among the various AOSs, a-IGZO was considerable material because it has high mobility and uniform surface and good transparent. The high mobility is attributed to the result of the overlap of spherical s-orbital of the heavy pest-transition metal cations. This study is demonstrated the effect of thickness channel layer from 30nm to 200nm. when the thickness was increased, turn on voltage and subthreshold swing were decreased. a-IGZO TFTs have used a shadow mask to deposit channel and source/drain(S/D). a-IGZO were deposited on SiO2 wafer by rf magnetron sputtering. using power is 150W, working pressure is 3m Torr, and an O2/Ar(2/28 SCCM) atmosphere at room temperature. The electrodes were formed with Electron-beam evaporated Ti(30nm) and Au(70nm) structure. Finally, Al(150nm) as a gate metal was evaporated. TFT devices were heat treated in a furnace at
$250^{\circ}C$ in nitrogen atmosphere for an hour. The electrical properties of the TFTs were measured using a probe-station to measure I-V characteristic. TFT whose thickness was 150nm exhibits a good subthreshold swing(S) of 0.72 V/decade and high on-off ratio of 1E+08. Field effect mobility, saturation effect mobility, and threshold voltage were evaluated 7.2, 5.8, 8V respectively. -
폴리머 기판위에서 ICP-RIE 방법을 이용하여
$O_2$ 플라즈마 전처리효과에 따른 GZO박막의 전기적, 광학적인 특성을 고찰 하였다. ICP-RIE 방법을 이용하여 폴리머 기판 위에$O_2$ 플라즈마 전처리의 공정 값은 공정압력은 20 mTorr, 파워는 100 W로 하고 변수로는 시간을 60초 ~ 600초로 하였다.$O_2$ 플라즈마 전처리한 기판위에 RF Sputtering 방법을 이용하여 4인치의 GZO(ZnO: 95 wt%,$Ga_2O_3$ : 5 wt%) 타겟을 사용하여 공정압력은 5 mTorr, 파워는 150 W, 박막의 두께는 500 nm의 조건으로 박막을 증착하였다. PET 기판의 600초의$O_2$ 플라즈마 처리 후 증착한 GZO 박막의 비저항이$6.2\times10^{-3}\;{\Omega}$ -cm이었고, PEN 기판의 120초의$O_2$ 플라즈마 처리 후 증착한 GZO 박막의 비저항이$1.1\;{\times}\;10^{-3}\;{\Omega}$ -cm이었다. 또한 300 nm 이하의 자외선 영역에서는 뛰어난 광 차단 효과를 가지고 있었으며, 가시광선 영역 (400 nm ~ 700 nm)에서 증착 된 시편들이 80 % 광 투과율을 나타내었다. -
Im, Jong-Hyun;Kim, Kwi-Jung;Jeong, Shin-Woo;Jung, Jong-Ill;Han, Hui-Seong;Jeon, Ho-Seung;Park, Byung-Eun 140
The Metal-ferroelectric-semiconductor (MFS) structure has superior advantages such as high density integration and non-destructive read-out operation. However, to obtain the desired electrical characteristics of an MFS structure is difficult because of interfacial reactions between ferroelectric thin film and Si substrate. As an alternative solution, the MFS structure with buffer insulating layer, i.e. metal-ferroelectric-insulator-semiconductor (MFIS), has been proposed to improve the interfacial properties. Insulators investigated as a buffer insulator in a MFIS structure, include$Ta_2O_5$ ,$HfO_2$ , and$ZrO_2$ which are mainly high-k dielectrics. In this study, we prepared the Dy-doped$La_2O_3$ solution buffer layer as an insulator. To form a Dy-doped$La_2O_3$ buffer layer, the solution was spin-coated on p-type Si(100) wafer. The coated Dy-doped$La_2O_3$ films were annealed at various temperatures by rapid thermal annealing (RTA). To evaluate electrical properties, Au electrodes were thermally evaporated onto the surface of the samples. Finally, we observed the surface morphology and crystallization quality of the Dy-doped$La_2O_3$ on Si using atomic force microscopy (AFM) and x-ray diffractometer (XRD), respectively. To evaluate electrical properties, the capacitance-voltage (C-V) and current density-voltage (J-V) characteristics of Au/Dy-doped La2O3/Si structure were measured. -
Blue light-emitting diodes (LEDs), violet laser diodes 같은 광전소자들은 질화물 c-plane 기판위에 소자로 응용되어 이미 상품화 되어 왔다. 그러나 2족-질화물 재료들은 wurtzite 구조를 가지므로 c-plane에 평행한 자연적인 극성을 띌 뿐만 아니라 결정 내부 stress로 인한 압전현상 또한 나타나 큰 내부 전기장을 형성하게 된다. 이렇게 생성된 내부 전기장은 전자와 홀의 재결합 효율을 감소시키고 소자 응용 시 red-shift의 원인이 되곤 한다. 따라서 최근 들어 m-plane(1-100), a-plane (11-20)같은 무극성을 뛰는 기판 위에 소자를 만드는 방법이 각광을 받고 있는 추세다. 그러나 무극성 기판을 소자에 응용 시 Chemical Mechanical Planarization (CMP)에 의한 가공은 반도체 기판으로써 이용하기 위한 필수 불가결의 공정이다. c면(0001) SiC wafer에 대한 연구는 현재 많이 발표가 되어 있으나 무극성면 SiC wafer에 대한 CMP 공정에 대한 연구사례는 없는 실정이다. 본 연구에서는 C면 (0001)으로 성장된 잉곳을 a면(11-20)과 m(1-100)면으로 절단 후, slurry type (KOH-based colloidal silica slurry, NaOCl), 산화제, 연마제등을 변화하여 CMP 공정을 거침으로서 일어나는 기계 화학적 가공 양상에 대하여 알아보았다. 그 후 표면 형상 분석 하기위해 Atomic Force Microscope(AFM)을 사용하였고, 표면 스크레치를 SEM을 이용해서 알아보았다.
-
Zinc Oxide (ZnO)는 wurtzite 결정구조를 가지고 있으며, 밴드갭 에너지가 약 3.4eV인 산화물 반도체 이다. GaN가 도핑된 ZnO 박막을 Pulsed Laser Deposition (PLD) 법을 이용하여 사파이어 기판과 실리콘 기판에 각각 증착하였다.
$500^{\circ}C$ 의 증착온도에서 1at%~10at%까지의 GaN 도핑농도에 따른 ZnO 박막의 결정성, 성분 분석을 비롯한 전기적 특성을 조사하였다. 첨가된 GaN의 농도에 따라 ZnO 박막의 결정성이 변화하였으며, 농도 변화에 상관없이 ZnO(002) 방향으로 성장함을 알 수 있었다. 또한 실리콘 기판에 증착한 GaN-doped ZnO 박막은 5at%에서$9.3\;{\times}\;10-3{\Omega}cm$ , 10at%에서$9.2\;{\times}\;10-3{\Omega}cm$ 의 비저항 값을 가지며 각각 p-type 특성을 나타내었다. -
본 논문은 2500V급 planar type의 NPT(Nun-Punch Through)형 IGBT설계 및 제작에 앞서 IGBT(Insulated Gate Bipolar Transistor)소자가 갖는 구조적 변수가 전기적 특성 (Breakdown Voltage, Turnoff Time, Saturation Voltage, 등)결과에 미치는 영향을 분석하여 IGBT 소자가 갖는 구조적 손실을 최적화 하는데 목표를 두었다. 최적화의 진행은 공정 시뮬레이터인 Tsuprem4와 디바이스 분석 시뮬레이터인 MEDICI를 이용하여 소자가 갖는 각각의 parameter값이 전기적 특성에 미치는 영향을 분석함으로 진행 되어졌으며, 향후 고속철 등과 같은 대용량 산업에 기여할 것으로 판단된다.
-
Single crystal
$CdGa_2Se_4$ layers were grown on a thoroughly etched semi-insulating GaAs(100) substrate at$420^{\circ}C$ with the hot wall epitaxy (HWE) system by evaporating the poly crystal source of$CdGa_2Se_4$ at$630\;^{\circ}C$ . The crystalline structure of the single crystal thin films was investigated by the photoluminescence and double crystal X-ray diffraction (DCXD). The carrier density and mobility of single crystal$CdGa_2Se_4$ thin films measured with Hall effect by van der Pauw method are$8.27\;\times\;10^{17}\;cm^{-3}$ ,$345\;cm^2/V{\cdot}s$ at 293 K, respectively. The photocurrent and the absorption spectra of$CdGa_2Se_4$ /SI(Semi-Insulated) GaAs(100) are measured ranging from 293 K to 10K. The temperature dependence of the energy band gap of the$CdGa_2Se_4$ obtained from the absorption spectra was well described by the Varshni's relation,$E_g$ (T) = 2.6400 eV - ($7.721\;{\times}\;10^{-4}\;eV/K)T^2$ /(T + 399 K). Using the photocurrent spectra and the Hopfield quasi cubic model, the crystal field energy(${\Delta}cr$ ) and the spin-orbit splitting energy(${\Delta}so$ ) for the valence band of the$CdGa_2Se_4$ have been estimated to be 106.5 meV and 418.9 meV at 10 K, respectively. The three photocurrent peaks observed at 10 K are ascribed to the$A_1$ -,$B_1$ -, and$C_{11}$ -exciton peaks. -
$CuGaSe_2$ (CGS) layers were grown by the hot wall epitaxy method. The optimum temperatures of the substrate and source for growth turned out to be 450 and$610^{\circ}C$ , respectively. Based on the absorption measurement, the band-gap variation of CGS was well interpreted by the Varshni's equation. By analyzing these emissions, a band diagram of the observed optical transitions was obtained. From the solar cell measurement, an 11.17 % efficiency on the n-CdS/p-CGS junction was achieved. -
반도체 소자의 크기가 45 nm 이하로 감소함에 따라 최소 선폭에 따른 다층 배선 연결 구조가 요구되고 있다. 그러나 고집적화 구조는 기생 저항과 정전 용량에 의한 신호지연증가 및 혼선 전력 소모의 문제가 발생한다. 이런 문제를 해결하기 위한 방법 중의 하나는 저저항 배선연결물질과 층간 절연막으로 저유전 상수를 갖는 물질을 사용하는 것이다. 본 연구는 DEMS
$(H-Si(CH_3)(OC_2H_5)_2)$ 전구체를 이용하여 저유전막을 증착할 때 사용되는 PECVD (plasma enhanced chemical vapor deposition) 장비를 국내 기술로 개발하고 개발된 장비로 저유전박막을 평가한 것에 관한 것이다. 본 연구에서 평가 및 박막 종확 시 사용한 장비는 MAHA hp 1 type ((주)아토)로서 양산용 PECVD 장비이다. 변수는 C-He의 유랑, 300 mm Si 웨이퍼와 shower head 사이의 거리, 증착 압력, 구동 전력이고, 증착된 저유전막의 두께, 두께의 균일성, 굴절률, 굴절률의 균일성를 평가하였다. 구동 전력이 500W 일 때, C-He의 유량과 진공의 크기를 감소시키면 박막의 두께가 감소하고 박막의 균일성은 증가하였다. C-He의 유량을 증가시키고 shower head 와 Si 웨이퍼 사이의 거리 및 구동 압력을 감소시키면 굴절률과 굴절률의 균일성이 모두 저하되었다. 구동 전력이 700W 일 때, 박막 두께의 경우, 구동 전력이 500W 일 때의 결과와 유사하지만, 박막의 균일성, 굴절률, 굴절률의 균일성은 모든 조건에서 저하되었다. -
In this paper we study on the semiconductor characteristic by calculating the variation of reflection function in microstrip lines, which has open-ended termination containing an optically induced plasma region. The variation of impedances resulting from the presence of plasma has evaluated with time and frequency domain. The responses have been also evaluated theoretically for changing the phase of the variation in the reflection.
-
Recently, there has been increasing interest in amorphous oxide semiconductors to find alternative materials for an amorphous silicon or organic semiconductor layer as a channel in thin film transistors(TFTs) for transparent electronic devices owing to their high mobility and low photo-sensitivity. The fabriction of amorphous oxide-based TFTs at room temperature on plastic substrates is a key technology to realize transparent flexible electronics. Amorphous oxides allows for controllable conductivity, which permits it to be used both as a transparent semiconductor or conductor, and so to be used both as active and source/drain layers in TFTs. One of the materials that is being responsible for this revolution in the electronics is indium-zinc-tin oxide(IZTO). Since this is relatively new material, it is important to study the properties of room-temperature deposited IZTO thin films and exploration in a possible integration of the material in flexible TFT devices. In this research, we deposited IZTO thin films on polyethylene naphthalate substrate at room temperature by using magnetron sputtering system and investigated their properties. Furthermore, we revealed the fabrication and characteristics of top-gate-type transparent TFTs with IZTO layers, seen in Fig. 1. The experimental results show that by varying the oxygen flow rate during deposition, it can be prepared the IZTO thin films of two-types; One a conductive film that exhibits a resistivity of
$2\times10^{-4}$ ohm${\cdot}$ cm; the other, semiconductor film with a resistivity of 9 ohm${\cdot}$ cm. The TFT devices with IZTO layers are optically transparent in visible region and operate in enhancement mode. The threshold voltage, field effect mobility, on-off current ratio, and sub-threshold slope of the TFT are -0.5 V,$7.2\;cm^2/Vs$ ,$\sim10^7$ and 0.2 V/decade, respectively. These results will contribute to applications of select TFT to transparent flexible electronics. -
Han, Myung-Soo;Park, Chang-Mo;Shin, Gwang-Soo;Ko, Hang-Ju;Kim, Doo-Gun;Hann, S-Wook;Kim, Seon-Hoon;Ki, Hyun-Chul;Kim, Hyo-Jin;Kim, Jang-Hyun 152
We investigate micro-tip properties as Ni-Co plating and CMP processes for MEMS probe card and units. The micro-tip are fabricated by using Ni-Co plating machine, lapping machine, and chemo-mechanical polisher. In order to get high conductive and reliable micro-tip, we control Co contents and thickness by CMP speed. We have found that about 20-25% of Co contents are required and have to lapping speed of 30 rpm. Also, we investigate photolithography and Ni-Co plating processes conditions for the one-step and the three-step micro-tips. -
Lee, Choong-Hyeon;Kim, Seong-Je;Kim, Tae-Hyun;O, Jeong-Mi;Choi, Ki-Ryung;Shim, Tae-Hun;Park, Jea-Gun 153
We investigated the dependence of the memory margin of the Cap-less memory cell on the strain of top silicon channel layer and also compared kink effect of strained Cap-less memory cell with the conventional Cap-less memory cell. For comparison of the characteristic of the memory margin of Cap-less memory cell on the strain channel layer, Cap-less transistors were fabricated on fully depleted strained silicon-on-insulator of 0.73-% tensile strain and conventional silicon-on-insulator substrate. The thickness of channel layer was fabricated as 40 nm to obtain optimal memory margin. We obtained the enhancement of 2.12 times in the memory margin of Cap-less memory cell on strained-silicon-on-insulator substrate, compared with a conventional SOI substrate. In particular, much higher D1 current of Cap-less memory cell was observed, resulted from a higher drain conductance of 2.65 times at the kink region, induced by the 1.7 times higher electron mobility in the strain channel than the conventional Cap-less memory cell at the effective field of 0.3MV/cm. Enhancement of memory margin supports the strained Cap-less memory cell can be promising substrate structures to improve the characteristics of Cap-less memory cell. -
The Formation and Characteristics of Titanium Germanide with Cr capping layer on n-Ge(100) SubstrateCr capping layer를 이용하여 Titanium germanide의 열적 안정성을 향상시키는 연구를 수행하였다. n-type Ge(100) 기판 위에 전자빔 증착기를 이용하여 30nm 두께의 Ti와 Cr capping layer를 증착하고
$400\;^{\circ}C$ 에서$800\;^{\circ}C$ 까지 30초간 N2 분위기로 급속 열처리하여 Ti germanide를 형성하였다. XRD결과로부터 Cr capping layer의 유무에 관계 없이 Ti germanide가 형성된 것을 관찰할 수 있었다. Ge 기판 위에 CTLM 패턴을 형성하고 실험을 진행하여 Ti germanide의 I-V 측정 데이터를 통해 Ohmic 특성을 알아보았고, contact resistance, sheet resistance, specific contact resistance를 구하였다. -
Kim, Chang-Il;Jung, Young-Hun;Lee, Young-Jin;Paik, Jong-Hoo;Choi, Eun-Ha;Jung, Seok;Kim, Jeong-Seok 155
AC-PDP의 유전체 보호막 물질로 사용 종인 다결정 MgO의 전기적 특성을 개선하기 위하여 본 연구에서는 MgO에 doping 물질과 첨가량에 따른 전기적 특성을 고찰하였다. 박막을 증착시키기 위해 MgO pellet target을 만드는데 이때 pellet의 밀도, XRD, 비커스경도, 파괴인성, 표면 grain size와 이차전자방출계수와의 관계 및 박막의 표면거칠기, 표면의 형태, 투과율과 이차전자방출계수와의 관계를 고찰하였다. 이에 여러 dopant를 첨가하여 특성 평가한 실험데이터를 정리하여 이차전자방출계수와의 연계성을 조사 하였다. -
Kim, Chang-Il;Kim, Chul-Min;Kim, Kyoung-Bum;Han, Woo-Seock;Jung, Young-Hun;Paik, Jong-Hoo;Lee, Young-Jin 156
우리 주변에서 쉽게 얻을 수 있는 에너지를 수확하여 전기에너지로 변환해서 전자기기의 전원으로 사용하고자 하는 에너지 하비스팅의 연구가 활발히 진행중이다. 본 연구에서는 일정장소에 설치된 압전발판을 사람이 보행시 밟았을 때 압전체가 변형되어 전기에너지를 발생시키는 자가발전 압전발판을 제작하였다. 발생된 전기에너지를 육안으로 확인하기 위해 발판 주변에 LED를 설치하여 점등되도록 하였다. 최대의 전기에너지가 발생되도록 압전발판을 제작하고자 디스크형태의 압전체를 아크릴판에 휨이 발하는 지점에서 일정거리를 두어 부착하고 인위적으로 일정 변위가 발생하도록 가진을 하였을 때 발생하는 전압을 비교하였다. 또한 압전체에 동판이 부착된 형태로 동판 두께에 따른 발전특성도 비교하였다. 이를 바탕으로 최대의 발전특성을 나타내는 형태로 제작하여 다수의 압전소자를 밀집시키고 그 위에 판을 대어서 보행시 밟은 부분 외의 압전체에도 하중이 인가되도록 제작하였다. 인도, 도로, 교량 등에 압전발판을 다수 설치하여 전기에너지를 축적하여 센서등의 전원으로 응용성을 검증하였다. -
$BaTiO_3$ 를 기본조성으로 하는 PTC 써미스터는 Curie 온도이상에서 저항이 급격히 상승하는 반도성 전자세라믹스로서 degaussing 소자, 정온 발열체, 온도센서, 전류 제한 소자 등 상업적으로 폭넓게 사용되고 있다. 본 소자는 소결온도, 소결 및 열처리 분위기, 불순물, 첨가제 등의 제조공정상의 인자들과 기공률, 결정립 크기 등이 복합적으로 작용하여 PTCR 특성이 크게 영향을 받기 때문에 제조하기에 무척 까다로운 소자로 알려져 있다. 특히 과전류 보호 소자용으로 사용하기 위해서는 상온 비저항을 크게 낮추어야 하며 이에 대한 연구가 계속 진행되고 있다. 따라서 본 연구에서는 SiO2을 0.5~10 at%로 달리한 조성으로 환원 분위기에서 소결하고 공기 중에서 재산화 처리하여 재료의 PTC 특성에 어떠한 영향을 미치는지 분석하였다. 소정의 조성을 선택하여$1180^{\circ}C{\sim}1240^{\circ}C$ 에서 2시간 동안 환원분위기에서 소결하고,$800^{\circ}C$ 에서 1 시간 공기 중에서 재산화 처리한 후 R-T 특성을 측정하여 SiO2 함량에 따른 PTC 특성을 분석하였다. 그 결과 SiO2의 함량이 증가할수록 상온 저항은 낮아지다가 3.0 at% 이상으로 첨가할 경우 급격히 상승하는 경향을 나타내었다. 특히 SiO2를 1.0~3.0 at% 일 때 우수한 PTC 특성을 가졌다.$1180^{\circ}C$ 에서는 소결 밀도가 낮아 상온 비저항이 크게 높았지만,$1200^{\circ}C{\sim}1220^{\circ}C$ 에서는 정상 입성장이 나타나면서 일반적인 PTC 특성을 가졌지만,$1240^{\circ}C$ 이상에서는 공정 액상이 형성되어 비정상 입성장이 일어나 상온 비저항이 크게 낮아졌다. 한편 점핑비-log(Rmax/Rmin)는 SiO2 함량이 증가할수록 높아지다가 3.0 at% 이상에서는 낮아짐을 확인하였다. -
기계적 에너지를 전기적 에너지로 변환하는 에너지 변환소자인 압전 세라믹스는 액추에이터, 변압기, 초음파모터 및 각종 센서로 응용되고 있으며, 그 응용분야는 크게 증가하고 있다. 최근에는 이러한 압전 소자를 앞으로 도래하는 ubiquitous, 무선 모바일 시대의 휴대용 전자제품, robotics 등의 분야에 적용하기 위하여 소형화 및 경량화를 구현하고자 다양한 연구가 진행되고 있다. 본 연구에서는 압전 특성이 우수한 PNN-PMN-PZT 삼원계 세라믹을 이용하여 압전 트랜스포머를 제작하고 전기적 특성을 평가하였다. 압전트랜스포머는 전왜-압전효과를 이용한 소전력용 고전압발생소자로서 종래의 권선형 트랜스포머에 비교해서 소형, 경량이면서 승압비가 높고 구조가 간단하므로 직류 고전압전원에 응용되고 있다. 압전트랜스의 이론적인 고찰은 Rosen 이후로 많은 연구자들에 의해서 보고되었다. 그런데 압전세라믹트랜스는 공진 시 강력한 에너지변환을 하기 때문에 재료의 물리적 특성이 매우 중요함에도 불구하고, 이러한 물리적 특성에 관한 연구는 거의 없었다. 따라서 본 연구에서는 물리적 특성과 전기적 특성의 상호 연관성을 고찰하고자 하였다. 압전트랜스용 재료는 전기기계결합계수(
$k_p$ )와 기계적품질계수(Qm)가 높아야 한다. 전기기계결합계수를 높이기 위해서는 상경계 조성의 Pb(Zr,Ti)$O_3$ [PZT]계 세라믹스가 가장 우수하다. 본 연구에서는 기계적 품질계수를 향상시키기 위해서$Pb(Nn_{1/3}Nb_{2/3})_{0.06+x}(Mn_{1/3}Nb_{2/3})_{0.065-x}(Zr_{0.48}Ti_{0.52})_{0.875}0_3$ 계의 조성을 설계하여 압전특성을 평가하고 미세구조를 분석하였다. 또한 압전트랜스를 제작하여 입출력의 승압비 및 주파수 특성 등도 평가하였다. -
Existence of physical moving parts (ex. check valve) produces several problems (mechanical abrasion, deterioration of reliability, limited temperature performances etc.) in driving pumps. To overcome such problems, we proposed a valveless piezoelectric micro-pump which has new type volume transferring mechanism. The proposed micro-pump has a double faced disk type vibrator that can generate peristaltic motion formed by traveling wave in each surface of a disk. This type of micro-pump is able to apply to a fluid supply system that provides two different kinds of fluid simultaneously. In this paper, we propose a simple and novel design of piezoelectric micro-pump that is peristaltically by piezoelectric actuators and allows the removal of the need for valves of other physically moving parts. The finite elements analysis on the proposed pump model was carried out to verify its operation principle using the commercial analysis software.
-
In this study, in order to develop the lead free piezoelectric ceramics with excellent piezoelectric properties, (Na,K)
$NbO_3$ ceramics according to the amount CuO addition were fabricated using a conventional mixed oxide process and their piezoelectric and dielectric characteristics were investigated. At the 0.8mol% CuO added composition, density, electromechanical coupling factor(kp), echanical quality factor(Qm), dielectric constant$(\varepsilon_r$ ) and piezoelectric constant($d_{33}$ ) showed the optimum value of$4.459g/cm^3$ , 0.469, 540, 410, 69.57pC/N, respectively. -
본 연구에서는 초음파 진동자를 적용한 초극세사 자동 절단 시스템을 개발 하였다. 초극세사 자동 절단 시스템은 공진 주파수 28kHz를 가지는 초음파 진동자, 구동회로부, 원단 이송장치, 초음파 진동자 이송장치, 초극세사 원단과 에어실린더 사이의 정전기 발생을 방지하기 위한 정전기 제거장치, 구동모터, 및 절단 크기와 절단 속도 등을 조절하기 위한 전기제어 판넬로 구성하여 제작하였다. 또한, 개발한 절단 시스템을 이용하여 초극세사 클리너 시제품을 제작하여 절단면을 전자현미경으로 관찰하였고, 절단 시스템의 소음진동 특성을 평가하였다.
-
A multilayer piezoelectric transformer(MPT) for step-down voltage was made by ceramic stack process. And then, the characteristics of piezoelectric transformer, such as resonance frequency, matching impedance, electro-mechanical coupling coefficient, voltage gain, heat generation and efficiency, are analyzed. The piezoelectric transformer consists of a lead zirconate titanate ceramic with a high electromechanical quality factor. The piezoelectric transformer, with a multilayered construction in the thickness direction, was formed with dimensions 15mm long, 15mm wide and 5mm thick.
-
현재 반도체나 이동통신 분야는 사용자의 요구에 따라 PCB의 회로선폭이 갈수록 좁아지고 있다. 이러한 정밀 부품을 제조하기 위한 제조공정에서 각광받기 시작한 기술 중 하나가 대기압 플라즈마 기술이다. 본 연구에서는 미세패턴 형성이 가능한 에폭시 본딩 필름위에 무전해 도금공정을 통한 패턴 도금법을 이용하여 패턴을 형성하였고, 형성된 패턴에 대기압 플라즈마 처리 횟수에 따른 접촉각(Contact Angle)과 Peel Strength의 변화를 분석하였다. 또한 에폭시 본딩 필름을 이용한 Build-up공정을 거쳐 Micro Via를 형성하여 대기압 플라즈마 처리 횟수에 따른 Via 표면을 분석하였다. 대기압 플라즈마 기술은 진공식에 비해 소규모 장비를 이용한 전처리가 가능하고, 초기 설비비용을 절감하는데 탁월한 효과가 있어 널리 사용하는 기술 중 하나이다. 이 연구를 통하여 대기압 플라즈마 처리 횟수에 따른 표면에너지의 변화로 인한 접촉각이 좋아지는 것을 알 수 있으며, 대기압 플라즈마 처리를 한 패턴표면이 친수성으로 변하면서 현상된 드라이 필름 사이로 도금액이 원활히 공급되어서 미세패턴 모양이 우수하게 구현되었음을 알 수 있었다. 또한 Via Filling에도 뛰어난 효과가 있었음을 확인할 수 있었다.
-
에너지 하베스팅 소자용 압전 적층체를 제작하고 이에 대한 성능을 조사하였다. 최근 대부분 소형 소자들을 구동하는데 있어서 이에 필요한 소비 전력은 최소한 10~20 mW 이다. 이에 적합한 mm 크기의 압전 소자를 제작하고 외부 금속 기구물을 연결한 하베스팅 소자를 제작하였다. 이러한 소자의 공진 주파수를 120 Hz로 정하고 이에 적합한 무게추를 설정하였다. 120 Hz에서 1 g 가속도로 외부에서 진동을 가했을 때, 소자는 5 V, 20 mW의 전력을 발생하였다. 또한 이러한 소자에서 발생된 전력을 저장하기 위하여 간단한 정류 및 저장 장치를 제작하였다. 회로 제작시 압전 소자의 임피던스가 매우 적으므로 이에 적합하게 임피던스 매칭을 고려한 저 임피던스 회로를 제작하였으며, 이 회로는 약 80%의 효율을 나타내었다.
-
Phase pure barium magnesium tantalate
$Ba(Mg_{1/3}Ta_{2/3})O_3$ (BMT) nanopowders were synthesized at temperature as low as$220^{\circ}C$ through glycothermal reaction by using$Ba(OH)_2{\cdot}8H_2O$ ,$Mg(NO_3){\cdot}6H_2O$ , and$TaCl_5$ as precursors and 1,4-butandiol as solvent. XRD, SEM, and TGA data support that glycothermal processing method provides a simple low temperature route for producing fine grained BMT nanopowders without alkaline mineralizers. BMT nanopowders synthesized at$220^{\circ}C$ showed more homogenous with rounded morphologies. -
ZnO varistor에서 희토류 산화물의 첨가는 비선형계 높게 만든다. 회토류 금속의 첨가로 높아진 비저항을 낮추기 위하여 3족 원소인 Al, Ga을 첨가하여 첨가 함량에 따른 ZnO-
$Pr_6O_{11}$ varistor의 비저항을 낮추고자 한다. 따라서 본 연구에서는 Al과 Ga 첨가에 따른 ZnO-$Pr_6O_{11}$ 을 일반적인 세라믹 공정에 따라 제조하여, Al과 Ga 첨가에 따른 ZnO-$Pr_6O_{11}$ varistor의 특성을 미세구조 조직, 밀도, I-V 특성, 비저항 측정하였다. ZnO의 bulk 및 grain boundary 특성 변화를 각종 유전함수($Z^*$ ,$Y^*$ ,$M^*$ ,$\varepsilon^*$ ,$tan{\delta}$ )를 이용하여 고찰하였다. -
Cho, Sung-Hwan;Yoon, Young-Joon;Kim, Hyung-Jun;Kim, Hyo-Tae;Kim, Ji-Hoon;Nam, Song-Min;Baik, Hong-Koo;Kim, Jong-Hee 170
aerosol deposition method(ADM)은 에어로졸화 된 고상의 원료분말을 노즐을 통해 분사시켜 소결과정을 거치지 않고도 상온에서 고밀도 후막을 제조할 수 있으며, 세라믹, 고분자, 금속 등의 다양한 코팅이 가능하다. 본 연구에서는 ADM들 이용하여 세라믹 후막 및 세라믹-폴리머 복합체 후막을 제조하였고 60 mm 노즐을 이용하여 대면적 세라믹 후막 성장도 시도되었다. 세라믹 후막의 원료로는 낮은 유전율과 우수한 품질계수를 갖는$Al_2O_3$ 분말과 AlN의 분말이 사용되었으며, 세라믹에 비하여 높은 탄성과 1,500~2,000의 품질계수를 갖는 테프론(teflon) 분말이 세라믹과의 복합체 후막성장에 사용되었다. 세라믹-폴리머 복합체의 경우, 폴리머의 함유량에 따라 후막 내부의 결정립 크기가 20 때의 평균 결정립을 갚는 세라믹 후막에 비해 최대 10배 정도까지 증가하는 것을 확인할 수 있었으며, 이에 따라 후막에서의 유전특성 및 전기적인 특성, 열전도도, 투과율이 크게 변화하는 것을 확인할 수 있었다. 본 연구에서는 이러한 물성 변화에 대한 원인 고찰을 위하여 후막의 미세구조 및 화학조성 등에 다양한 분석이 이루어졌으며, 상온에서 성막되는 후막의 고분자 기판으로의 응용을 위한 최적의 공정조건을 제시하고자 한다. -
Hwang, Myung-Sung;Jang, Hun-Woo;Kim, Ji-Hoon;Koo, Eun-Hae;Kim, Hyo-Tae;Yoon, Young-Joon;Kim, Jong-Hee 171
We have investigated the impact of coffee ring effect on the inkjet-printed$Al_2O_3$ thick films. In a single solvent system such as Dimethylformamide, the coffee-ring-pattern has appeared on the edge of sessile drop after evaporation. The peak-to-valley height difference in$Al_2O_3$ coffee ring is over 2um. This non-uniform deposition of$Al_2O_3$ over the surface leads to sever surface roughness of the inkjet-printed films. However, we have manipulated our printing parameters to improve the surface roughness and the packing density of the printed$Al_2O_3$ films. Our inkjet-printed$Al_2O_3$ films show 10 times smoother surface than the initially printed sample's surface. Also the packing density of the printed Ah03 film becomes 70% of high packed$Al_2O_3$ . In this presentation, we would like to present the key process parameters of the inkjet printing process to overcome the genetic coffee ring problem. -
$BaTiO_3$ 는 perovskite 구조를 가지는 대표적인 강유전체 재료로서 MLCC(Multi Layer Ceramic Capacitor), PTC thermistor등에 널리 사용되어지고 있다. 최근 고용량 MLCC 의 상업화와 함께 나노크기를 갖는 tetragonal phase의$BaTiO_3$ 입자를 합성하기 위한 다양한 제조방법이 제시되고 있다. 또한 유전 특성과 온도특성 및 신뢰성을 향상시키기 위해 많은 첨가제들이 연구되어지고 있다. 따라서 이 번 연구에서는 선행 연구를 통해 얻어진 high energy mill을 이용한 고상반응법으로 제조된$BaTiO_3$ 를 사용하였으며, 제조된$BaTiO_3$ 분말에 glass frit를 첨가하여 소결온도 및 유전특성의 변화를 관찰하였다. 제조된$BaTiO_3$ 분말은 200nm이하의 구형화와 균일한 입자크기를 보였으며, 선행연구를 통해 최적화된 glass frit의 양인 2.53wt%를 첨가하였고 1170, 1200,$1230^{\circ}C$ 에서 소결하여 소결온도에 따른 변화를 관찰하였다. 실험방법으로는 원료를 혼합하기 위하여 24시간 ball-mill을 이용하여 혼합하였으며,$\Phi15$ 로 성형하여 소결을 진행하였다. 실험진행 결과 모든 시편에서의 비유전율은 glass frit가 첨가되지 않은 조성보다 높게 나타났으며,$1200^{\circ}C$ 에서 소결한 시편의 비유전율($\varepsilon_r$ )은 2300으로 glass frit가 첨가되지 않은 조성과 비교하여 21% 증가하여 최대치를 나타냈다. 또한 소결온도$1200^{\circ}C$ 이상에서의 모든 시편에서는 95% 이상의 상대밀도를 나타내어, glass frit가 소결조제로써의 역할을 하는 것으로 나타났다. 따라서 본 연구를 통해 glass frit첨가로 인한 소결온도 감소 및 유전특성이 증가하는 것을 확인 하였다. -
Kim, Jin-Sa;Cho, Choon-Nam;Bae, Duck-Kweon;Shin, Cheol-Gi;Choi, Woon-Shick;Song, Min-Jong;So, Byeong-Mun;Kim, Chung-Hyeok 173
The SBN thin films are deposited on Pt-coated electrode(Pt/Ti/$SiO_2/Si$ ) using RF sputtering method at various deposition conditions. The optimum conditions of RF power and$Ar/O_2$ ratio were 60[W] and 70/30, respectively. Also, The surface rougness showed about 4.33[nm] in RF power 60[W] and$Ar/O_2$ ratio 70/30. -
최근 전자 소자의 소형화 집적화에 따른 대응 방안으로 한 개의 소자에 두가지 이상의 물리적 특성을 갖는 다기능성 소재의 개발에 많은 연구가 진행되고 있다. 다강체는 강유전성 (ferroelectricty ), 강자성 (ferromagnetism), 강탄성 (ferroelasticity) 중에서 두 개 이상의 현상을 나타내는 재료로, 이중에서도 특히 강유전성과 강자성을 동시에 나타내는 다강체가 학계 및 산업계로부터 집중적인 관심을 받으면서 최근 이 분야 연구가 국내 외적으로 매우 활발하게 이루어지고 있다. 이는 다강체를 이용하면 기존의 강유전 현상을 이용한 메모리소자인 FRAM이나 차세대 메모리소자로 주목을 받고 있는 MRAM을 결합한 새로운 방식의 메모리소자의 탄생이 가능할 수도 있기 때문이다. 즉, 일부 다강체가 나타내는 magnetoelectric (ME) 현상을 이용하면 자기적으로 신호를 인가하여 전기신호로 데이터를 저장하거나 또는 전기적으로 신호를 인가하여 자기적으로 데이터를 저장하는 것이 가능해지기 때문이다. 이 연구에서는 다강체 특성을 가지는
$Fe/BaTiO_3$ 이중박막을 IBSD(Ion Beam Sputter Deposition)을 이용하여 (111)Pt/Ti/$SiO_2/Si$ 기판에 증착을 하여 구조적, 전기적, 자기적 특성을 토론할 것이다. -
Kim, Kwan-Soo;Kim, Myung-Soo;Yoon, Sang-Ok;Park, Jong-Guk;Kim, So-Jung;Kim, In-Tae;Kim, Shin 176
Influences of dose and particle size of$Al_2O_3$ filler and sintering time on the dielectric properties of$Al_2O_3$ filler/CaO-$Al_2O_3-SiO_2$ (CAS) glass composites were investigated with a view to applying the composites to the substrate material in low temperature co-firing ceramic (LTCC) technology. The increased addition of$Al_2O_3$ filler with the particle size of 1${\mu}m$ monotonically decreased the density of the sintered specimen at a given temperature, while sintering of the 10 wt%$Al_2O_3$ added specimen at$925^{\circ}C$ for 2 h demonstrated 96.0 % of the relative density, dielectric constant of 6.34, and quality factor of 2,760 GHz. As for the influence of the particle size of the$Al_2O_3$ filler, there existed an optimum particle size (30${\mu}m$ ) to ensure successful densification (96.5 %) of the 10 wt%$Al_2O_3$ /CAS composites at$925^{\circ}C$ for 2 h, at which condition the specimen demonstrated dielectric constant of 5.45 and quality factor of 3,740 GHz. When the influence of the sintering time of the 10 wt%$Al_2O_3$ ) (30${\mu}m$ ) added specimen was investigated at the sintering temperature of$925^{\circ}C$ , an overly long sintering time degraded dielectric properties due to the over-sintering and the significant growth of the second phase such as anorthite, while the sintering for 4 h demonstrated 96.58 % of the relative density, dielectric constant of 5.4, and quality factor of 4,050 GHz. These results demonstrate the feasibility of the investigated material as the substrate material in LTCC technology. -
이동통신 시스템의 소형화 경량화 다기능화 추세에 따라 세라믹 모듈의 정밀도 및 집적도가 중요한 요소로 부각되고 있다. 이러한 모듈의 고집적화 추세에 대응하기 위하여 세라믹 소성시 수축율 제어가 필수적인 요소로 부각되고 있으며, 이에 따라 X, Y축의 소성 수축율을 0에 근접하게 제어하는 무수축 소성 기술이 요구되고 있다. 선행연구를 통하여
$Al_2O_3$ /Glass/$Al_2O_3$ 구조의 glass infiltration법에 의한 무수축 소성 기술 구현 가능성을 확인하였으나, 아직 해결해야 할 문제점들이 있다. glass가$Al_2O_3$ 층으로 infiltration되는 과정에서 glass층이 de-lamination 되는 결함이 발견되었으며 이는 유전체 기판의 Q값을 낮추고 기판의 신뢰성에 악영향을 줄 수 있어 이에 대한 개선이 필요한 실정이다. 본 연구에서는$Al_2O_3$ /Glass/$Al_2O_3$ 구조의 glass infiltration법에 의한 선행 실험에서 관찰된 기판 내부의 de-lamination 현상에 대한 원인을 규명하고 해결책을 제시하고자 하였다. glass 유동과 바인더 burn-out이 동시에 진행됨에 따라 기공이 생성되며 glass가 점성유동함에 따라 이 기공이 glass층으로 모이게 되어 de-lamination 현상이 발생하는 것으로 사료된다. 이를 해결하기 위하여 de-lamination층에$Al_2O_3$ 의 tamping을 시도하여 glass층의 기공이 빠져 나갈 수 있는 channel 을 형성하고, 남아있는 기공을$Al_2O_3$ 로 채우는 효과를 얻을 수 있었다. 이에 따라 기판의 밀도와 Quality factor 값이 향상되었으며 미세구조가 치밀한 무수축 기판을 제작할 수 있었다. -
Jung, Yeon-Kyung;Park, Se-Hoon;Kim, Wan-Joong;Park, Seong-Dae;Lee, Woo-Sung;Lee, Kyu-Bok;Park, Jong-Chul;Jung, Seung-Boo 178
전자제품의 고속화, 고집적화, 고성능이 요구되어짐에 따라 IC's 성능 향상을 통해 패키징 기술의 소형화를 필요로 하고 있어 소재나 칩 부품을 이용해 커패시터나 저항을 구현하여 내장시키는 임베디드 패시브 기술에 대한 연구가 많이 진행되어 지고 있다. 본 연구에서는 3D 패키징이 가능한 flexible 소재에 능, 수동 소자를 내장하기 위한 다층 flexible 기판 공정 기술에 대한 연구를 수행하였다. 기판제작을 위해 flexible 소재에 미세 형성이 가능한 폴리머 필름을 접착하였고 flexible 위에 후막 저항체 패턴을 퍼|이스트를 이용하여 형성하였다. 또한, 능동소자 내장을 위해 test chip을 제작하여 플립칩 본더를 이용해 flexible 기판에 접합한 후에 bonding film을 이용한 build up 공정을 통해 via를 형성하고 무전해 도금 공정을 거쳐 전기적인 연결을 하였다. 위의 공정을 통해 앓고 가벼울 뿐만 아니라 자유롭게 구부러지는 특성을 갖고 있는 능, 수동 소자 내장형 flexible 기판의 변형에 따른 전기적 특성을 평가하였다. -
This is for the electrical characterization by IDC pattern using BST
$(Ba_{0.5}Sr_{0.5}TiO_3)$ thin film. BST materials had been chosen for high frequency applications due to it's high permitivity and tunability. The BST thin films have been deposited on$Al_2O_3$ Substrates by Nd-YAG pulsed laser deposition with a 355nm wavelength at$700\;^{\circ}C$ . The post deposition annealing at$750^{\circ}C$ in flowing$O_2$ atmosphere for 1 hours. The capacitance of IDC patterns have been measured from 1 to 10 GHz as a function of electric field ($\pm40$ KV/cm) at room temperature using inter-digital Au electrodes deposited on top of BST. The IDC patterns have three type of fingers number. For the 10 pairs finger was the best capacitance onto$Al_2O_3$ substrate. The capacitance was 0.9pF. Also Dielectric constant was been 351 at 100 mTorr and annealing temperature$750^{\circ}C$ for 1 hour. The loss tangent was been 0.00531. -
$(Ba_{0.6},Sr_{0.3}Ca_{0.1})TiO_3$ powders, which were prepared by sol-gel method using a solution of Ba-acetate, Sr-acetate and Ca-acetate and Ti iso-propoxide,$(Ba_{0.6},Sr_{0.3}Ca_{0.1})TiO_3$ array thick films doped with 0.1 mol%$MnCO_3$ and$Yb_2O_3$ (0.1~0.7 mol%) were fabricated by the screen printing method on the alumina substrate. And the structural and electrical properties as a function of$Yb_2O_3$ amount were investigated. The thickness of all (Ba,Sr,Ca)$TiO_3$ thick films was approximately 60mm. The Curie temperature of doped with 0.1 mol%$Yb_2O_3$ specimen was$45^{\circ}C$ , and the dielectric constant and at this temperature was 1062. -
The effect of Re-oxidation on the PTCR properties of Sm-doped barium titanate ceramics was investigated by means of impedance spectroscopy. Electrical properties such as resistance vs. temperature, I-V curve were measured and microstructure was observed with SEM photography. Sample was fabricated with thick film process such as tape casting of green sheet, screen printing of electrode pattern, stacking, firing in reduced atmosphere and re-oxidation, etc. As the temperature of re-oxidation increases, resistance jump as a function of temperature enhances but resistance at room temperature increases. These behavior of resistance as a function of temperature, dependent on the re-oxidation condition, is analyzed with Cole-Cole impedance plot and is shown to be related with the degree of oxidation of grain boundary regardless of grain core during re-oxidation process of sample.
-
Seo, Han;Park, Jung-Ho;Choi, Byung-Hyun;Jy, Mi-Jung;Kim, Sea-Gee;Ju, Byeong-Kwon;Hong, Sung-Pyo 183
본 연구에선 ITO에 사용되는 Indium의 양을 줄이기 위해 ITO와 유사한 성질을 보이는 조성인 Indium - Zinc - Tin Turnary compound를 연구하였다. 각 조성은 Indium - Zinc - Tin Turnary compound를 기본으로 하여 Zinc site에 이종원소인 Al2O3와 Ga2O3를 doping함에 따라 변화되는 전기적 특성을 살며보았다. 분석에 사용한 Ceramic pellet은 일반적인 Ceramic process를 거쳐 제작되었다. 각 조성의 전기적 특성은 TCR meter와 Hall effect analyser를 이용하여 측정하였고, X-ray diffraction measurements(XRD), Scanning Electron microscope(SEM)를 이용하여 결정학적 특성을 분석하였다. -
Lead-free Piezoelectric
$[Li_{0.04}(Na_{0.44}K_{0.56})](Nb_{0.88}Ta_{0.1}Sb_{0.02})$ (abbreviated as NKNLTS) has been synthsized by conventional mixed oxide method traditional ceramics process without cold-isostatic pressing. Effect of$Bi_2O_3$ addition on NKNLTS ceramics was investigated. Piezoelectric properties of the ceramic were varied with the amount of$Bi_2O_3$ addition and showed the maximum Kp value at 0.4wt%$Bi_2O_3$ addition. The results show that the optimum poling condition for NKNLTS ceramics of 3.5kV/mm, poling temperature of$120^{\circ}C$ and poling time of 30min. At the sintering temperature of$1100^{\circ}C$ and the calcination temperature$800^{\circ}C$ , the optimal values of density=$4.7g/cm^2$ , Kp=0.44,$\varepsilon_r$ =1309 were obtained. Consequently, lead free piezoelectric ceramics with the excellent piezoelectric could be fabricated using a conventional mixed oxide process and the optimal manuacturing condition of those was obtained. -
Micropump is very useful component in micro/nano fluidics and bioMEMS applications. Using the flexural vibration mode of PZT bar, a piezopump is successfully made. The PZT bar is polarized with thickness direction. The proposed structure for the piezo-pump consists of an input and an output port, piezoelectric ceramic actuator, actuator support, diaphragm. The traveling flexural wave along the bar is obtained by dividing two standing waves which are temporally and spatially phase shifted by 90 degrees from each other. Fluid is drawn into a forming chamber, eventually the forming chamber closes trapping the fluid therein. The finite elements analysis on the proposed pump model is carried out to verify its operation principle and design by the commercial FEM software. Components of piezopump were made, assembled, and tested to validate the concepts of the proposed pump and confirm the simulation results. The performance of the proposed piezopump the highest pressure level of 83.4kHz.
-
The
$Sr_{0.7}Bi_{2.3}Nb_2O_9$ (SBN) thin films are deposited on Pt-coated electrode(Pt/Ti/$SiO_2$ /Si) using RF sputtering method at various deposition conditions. Thickness of SBN thin films was about 250[nm] in 70/30 of$Ar/O_2$ ratio. The thickness and deposition rate of SBN thin films were increased with increase of RF power. The capacitance of SBN thin films were increased with the increase of deposition temperature. -
In this study, in order to develop excellent lead-free composition ceramics for piezoelectric transformer,
$(K_4CuNb_8O_{23})$ added$(K_{0.5}Na_{0.5})(Nb_{0.96}Sb_{0.04})O_3$ ceramics were fabricated using conventional mixed oxide method and their piezoelectric and dielectric properties were investigated as a function of the amount of KCN addition. With increasing the amount of KCN addition, density and mechanical quality factor(Qm), electromechanical coupling factor (Kp) were increased up to 1.2mol% and then decreased. At the 1.2mol% KCN added specimen, mechanical quality factor (Qm), electromechanical coupling factor (Kp), density and dielectric constant (${\varepsilon}r$ ) showed the optimal values of 781, 0.445,$4.42g/cm^3$ and 443, respectively, for piezoelectric transformer application. -
전자산업에의 필수인 커패시터는 소형화, 저저항, 고전압을 향한 추세가 늘고 있으며, 이외에도 고전압 전원, 고전압 회로 등 중전기기에 필요한 고전압, 고용량 커패시터가 사용되고 있다. 중전기기에 사용되는 커패시터는 기기에 따라 틀리지만 내전압이 보통 10kV 이상이고 정전용량이 500pF 안팎이며, 대부분 외국에서 수입에 의존하고 있는 실정이다. 본 연구에서는
$Nb_2O_5$ 를 2mol% 첨가한$BaTiO_3$ 를 일반적인 고상 소결법으로 제조하고 성형 시 crack을 방지하기 위해 binder 및 plasticizer를 사용하였으며, binder 첨가량에 따른 성형밀도를 측정하여 최적의 binder양을 선택하였다. 성형 밀도가 떨어짐에 따라 절연파괴강도가 낮아지기 때문에 성형 밀도를 높이기 위해 CIP를 하였으며, 소결후 capacitance와 d-factor를 측정하여 수치가 허용 범위에 들어 올 경우 전극을 형성하고 표면파괴를 방지하기 위하여 epoxy로 표면코팅을 하였다. DC 60kV용 Hi-pot tester를 사용하여 15kV까지 선형적으로 증가시켜 내전압 테스트를 실시하였으며, 제조 된 커패시터 중 몇 개의 sample을 SEM 및 XRD를 사용하여 미세구조와 결정상을 조사하였다. -
$Bi_4Ti_3O_{12}$ (BiT) thin films were well developed on the Pt/Ti/$SiO_2/Si$ substrate by a metal organic decomposition (MOD) method. Oxygen was effective on the crystallization of the BiT thin films during a rapid thermal annealing process. The electrical properties of the BiT films dependant on the oxygen partial pressure were investigated. No crystalline phase was observed for the BiT film annealed at$700^{\circ}C$ under oxygen free atmosphere. However, its crystallinity was significantly evolutionned with increasing oxygen partial pressure. In addition, its dielectric and piezoelectric properties were enhanced with increasing oxygen partial pressure to 10 torr. Especially, the BiT film, annealed at$700^{\circ}C$ and 10 torr oxygen pressure, showed good dielectric properties: dielectric constant of 51 and dielectric loss of 0.2 % at 100 kHz. Its leakage current and piezoelectric constant ($d_{33}$ ) was also considerably improved, being as 0.62 nA/$cm^2$ at 1 V and approximately 51 pm/V, respectively. -
Jang, Hun-Woo;Kim, Ji-Hoon;Koo, Eun-Hae;Kim, Hyo-Tae;Yoon, Young-Joon;Hwang, Hae-Jin;Kim, Jong-Hee 192
We have successfully demonstrated the inkjet printing process to fabricate$Al_2O_3$ thick films without a high temperature sintering process. A single solvent system had a coffee ring pattern after printing of$Al_2O_3$ dot, line and area. In order to fabricate the smooth surface of$Al_2O_3$ thick film, we have introduced a co-solvent system which has nano-sized$Al_2O_3$ powders in the mixture of Ethylene glycol monomethyl ester and Di propylene glycol methyl ether. This co-solvent system approached a uniform and dense deposition of$Al_2O_3$ powders on the substrate. The packing density of inkjet-printed$Al_2O_3$ films is more than 70% which is very high compared to the value obtained from the films synthesized by other conventional methods such as casting processes. The characterization of the inkjet-printed$Al_2O_3$ films has been implemented to investigate its thickness and roughness. Also the dielectric loss of the films has been measured to understand the feasibility of its application to 3D integration package substrate. -
Thin-type 초음파모터의 구조는 크로스형태의 앓은 스테이터에 윗면과 아랫면에 각각 8개의 압전세라믹이 부착된 형태이고, 압전세라믹의 분극방향은 로터와 접촉하는 스테이터의 중심부인 네 개의 타점에서 순차적인 타원변위가 생성되도록 결정된다. 유한요소해석프로그램인 ATILA 5.2.4를 사용하여 형태, 길이, 두께, 스테이터 재질, 클램프특성이 최적 설계된 모델을 제작하였고, 푸쉬풀 게이지, x-y 스테이지, rpm 메터, 토크 게이지, 맨코더, AD컨버터를 이용하여 피드백 제어 구동시스템을 구성하였다. 그림 I은 마이크로컨트롤러 (ATmega)와 피드백회로를 이용한 구동 드라이버를 보여준다. 한 주기에서 1/4분주의 순차적인 네 개의 구형파를 생성하고, 이를 push-pull회로를 통하여 90도의 위상차가 나는 정현파를 생성하여 초음파 모터의 구동전원으로 사용한다. 피드백 회로인 엔코더와 AD 컨버터는 초음파모터의 속도를 피드백하여 정속도 운전을 위한 전압제어에 사용되었다. 특성 측정 결과, 제품화된 드라이버와 비교하여 큰 차이를 보이지 않았고 피드백 회로를 통하여 부하변화에 따른 속도의 극심한 변화를 0.2~0.4[N]의 범위에서 정속도 운전이 가능하였으며, 장시간의 운전에도 온도 및 속도특성이 안정적임을 확인하였다.
-
3C-SiC thin films are widely used in extreme environments, radio frequency (RF) environments, and bio-materials for micro/nano electronic mechanical systems (M/NEMS). The mechanical properties of 3C-SiC thin films need to be considered when designing M/NEMS, so Young's Modulus and the hardness need to be accurately measured. Young's Modulus and the hardness are influenced by N-doping. In this paper, we show that the mechanical properties of poly (polycrystalline) 3C-SiC thin films are influenced by the N-doping concentration. Furthermore, we measure the mechanical properties of 3C-SiC thin films for N-doping concentrations of 1%, 3%, and 5%, by using nanoindentation. For films deposited using a 1% N-doping concentration, Young's Modulus and the hardness were measured as 270 GPa and 30 GPa, respectively. When the surface roughness of the thin films was investigated by using atomic force microscopy (AFM), the roughness of the 5% N-doped 3C-SiC thin film was the lowest of all the films, at 15 nm.
-
This paper describes the fabrication and characteristics of polycrystalline 3C-SiC thin film diodes for extreme environment applications, in which the this thin film was deposited onto oxidized Si wafers by APCVD using HMDS In this work, the optimized growth temperature and HMDS flow rate were
$1,100^{\circ}C$ and 8sccm, respectively. A Schottky diode with a Au, Al/poly 3C-SiC/$SiO_2$ /Si(n-type) structure was fabricated and its threshold voltage ($V_d$ ), breakdown voltage, thickness of depletion layer, and doping concentration ($N_D$ ) values were measured as 0.84V, over 140V, 61nm, and$2.7{\times}10^{19}cm^2$ , respectively. To produce good ohmic contact, Al/3C-SiC were annealed at 300, 400, and$500^{\circ}C$ for 30min under a vacuum of$5.0{\times}10^{-6}$ Torr. The obtained p-n junction diode fabricated by poly 3C-SiC had similar characteristics to a single 3C-SiC p-n junction diode. -
This paper describes the Raman scattering characteristics of polycrystalline (poly) 3C-SiC films, which were deposited on the thermally oxidized Si(100) substrate by the atmosphere pressure chemical vapor deposition (APCVD) method according to growth temperature. TO and LO phonon modes to 2.0m thick poly 3C-SiC deposited at
$1180^{\circ}C$ were measured at 794.4 and$965.7\;cm^{-1}$ respectively. From the intensity ratio of$I_{(LO)}/I_{(TO)}$ 1.0 and the broad full width half maximum (FWHM) of TO modes, itcan be elucidated that the crystallinity of 3C-SiC forms polycrystal instead of disordered crystal and the crystal defect is small. At the interface between 3C-SiC and$SiO_2$ ,$1122.6\;cm^{-1}$ related to C-O bonding was measured. Here poly 3C-SiC admixes with nanoparticle graphite with the Raman shifts of D and G bands of C-C bonding 1355.8 and$1596.8\;cm^{-1}$ . Using TO mode of 2.0 m thick poly 3C-SiC, the biaxial stress was calculated as 428 MPa. -
This paper describes the electrical properties of polycrystalline (poly) 3C-SiC thin films with different nitrogen doping concentrations. The in-situ-doped poly 3C-SiC thin films were deposited by using atmospheric-pressure chemical vapor deposition (APCVD) at
$1200^{\circ}C$ with hexamethyldisilane (HMDS:$Si_2$ $(CH_3)_6)$ as a single precursor and 0 ~ 100 sccm of$N_2$ as the dopant source gas. The peaks of the SiC (111) and the Si-C bonding were observed for the poly 3C-SiC thin films grown on$SiO_2/Si$ substrates by using X-ray diffraction (XRD) and Fourier transform infrared spectroscopy (FT-IR) analyses, respectively. The resistivity of the poly 3C-SiC thin films decreased from$8.35\;{\Omega}{\cdot}cm$ for$N_2$ of 0 sccm to$0.014\;{\Omega}{\cdot}cm$ with$N_2$ of 100 sccm. The carrier concentration of the poly 3C-SiC films increased with doping from$3.0819\;{\times}\;10^{17}$ to$2.2994\;{\times}\;10^{19}\;cm^{-3}$ , and their electronic mobilities increased from 2.433 to$29.299\;cm^2/V{\cdot}S$ . -
ZnO:Al transparent conductive films for solar cells were deposited on the glass substrates at room temperature by facing target sputtering (FTS) method. The sputtering targets were 100 mm diameter disks of 2w.t..%. AZO and Zn metal. ZnO:Al thin films were deposited as a function film thickness. A base pressure was
$2{\times}10^{-6}$ torr, and a working pressure was 1mTorr. The properties of thin films on the structural, electrical and optical properties of the deposited films were investigated using a four-point probe (Chang-min), an X-ray diffraction (Rigaku), a Hall Effect measurement (Ecopia), an UV/VIS spectrometer (HP) and a$\alpha$ -step (Tencor). The lowest resistivity of film was$5.67{\times}10^{-4}[{\Omega}-cm]$ at 500nm. The average transmittance of over 80% was seen in the visible range. -
The Indium Zinc Tin Oxide (IZTO) thin films for flexible display electrode were deposited on poly carbonate (PC) and polyethersulfone(PES) and glass substrates at room temperature by facing targets sputtering (FTS). Two different kinds of targets were installed on FTS system. One is ITO (
$In_2O_3$ 90 wt.%,$SnO_2$ 10 wt.%), the other is IZO ($In_2O_3$ 90 wt.%, ZnO 10 wt.%). As-deposited IZTO thin films were investigated by a UV/VIS spectrometer, an X-ray diffractometer (XRD), an atomic force microscope (AFM) and a Hall Effect measurement system. As a result, we could prepare the IZTO thin films with the resistivity of under$10^{-4}\;[{\Omega}{\cdot}cm]$ and IZTO thin films deposited on glass substrate showed an average transmittance over 80% in visible range (400~800 nm) in all IZTO thin films except in IZTO thin film deposited at$O_2$ gas flow rate of 0.1[sccm]. -
태양광 발전은 다른 발전방식과는 달리 연료비가 불필요하고 대기오염이나 폐기물 발생이 없으며 부위가 반도체 소자이고 제어부가 전자제품이므로 기계적인 진동과 소음 등의 공해가 전혀 없는 에너지원이다. 그러나 태양광 발전은 에너지 밀도가 낮아 일사량, 온도, 계절 등 기상조건의 작은 변화에도 발전량의 편차가 심하고 출력이 불안정하여 상용전원과의 연계나 별도의 축전설비 또는 발전설비 없이 독립적으로 사용하기에는 다소 무리가 있다는 단점이 있다. 따라서 본 논문에서는 이러한 문제점을 해결하기 위해서 1년 동안 실증운전을 통한 종합적인 운전특성 데이터, 태양전지 어레이 출력의 전압 전류, 교류 전력 및 전력량, 일사량 및 모듈의 온도, 외기온도 등 분석기간 동안 수집된 운전 데이터를 이용하여 태양이 태양전지 모듈에 입사되는 각과 발전량 즉 태양 전지 어레이 형태와 발전량과의 상관관계를 정량적으로 규명하여 태양광 발전시스템의 설계 및 시공의 최적화가 이루어질 수 있도록 하였다.
-
PECVD를 이용하여 상온에서 Silicon nitride 박막을 제조하였다. 그리고 증착 중에 non-invasive ion energy analyzer를 이용하여 이온에너지와 이온에너지 flux룰 측정하였다. PECVD의 소스 파워는 500W, 바이어스 파워 100W으로 고정하고 주파수 250Hz으로 고정된 상태에서 펄스를 인가하여 duty ratio를 30-100%까지 변화시켰다. 작은 duty ratio 범위 (30-70%)에서 duty ratio가 감소할 때, 이온에너지와 이온에너지의 비가 감소하였다. 이 때 감소되는 굴절률은 저이온에너지 변수와 강한 연관성을 지니고 있었다. 굴절률은 1.65-2.46 사이에서 변화하였다.
-
최근 광섬유 센서기술의 수요는 전 산업분야에 걸쳐 높아지고 있으며, 이에 비례하여 기업 간, 국가 간 경쟁이 첨예화되고 있다. 또한 소형화, 경량화, 고성능화 센서에 대한 요구도 높아지고 있어 종래의 각종 센서들의 형태와 개선을 위한 연구개발이 매우 활발하게 전개되고 있으므로 이를 대체할 수 있는 광섬유 센서의 수요가 급격히 늘어날 전망이다. 기존 침입자 감지 시스템은 태풍, 낙뢰, 폭설, 폭우 등의 기상변화나 지반 흔들림, 통행차량 진동 및 전자기 간섭 등에 영향을 받아 오작동, 오경보가 빈번히 발생된다. 이러한 문제의 해결책으로 광섬유 센서 케이블을 이용한 시스템이 대안으로 부각되고 있다. 현재 국내에서 군부대, 공항을 중심으로 펜스와 휴전선 철책에 힘입자 감지를 위하여 도입되고 있다. 광섬유 센서 케이블을 사용하는 광망경비시스템은 광섬유 센서 케이블을 그물망 형태(광망)로 만들어 경계 지역에 설치된다. 광망경비시스템의 원리는 광섬유에 광펄스를 입사시켜 순환시키는데 침입자가 광망을 절단하거나 외력을 가할 경우 발생되는 레일리 산란에 기인하는 후방산란과 접속점과 파단점에서 생기는 반사광을 OTDR(Optical Time Domain Reflectometer)로 검출하여 침입상황 및 침입위치를 탐지한다. 그러나 이러한 침입자 감지를 위한 광망경비시스템의 핵심부품인 광섬유 센서 케이블은 기존에 전량 해외수입에 의존하고 있는 실정이며, 지금까지 국내에서 생산하기 위한 제작 기술과 노하우가 초보단계에 머물러 있다. 이러한 광섬유 센서 케이블 제작에 있어서 중요한 부분이 패키징 기술이라 할 수 있다. 이는 광섬유 센서를 일반적인 피복 구조로 패키징하게 되면, 센서 고유의 특성이 패키징 과정과 운반과정, 포설과정에서 변하게 되고 센서로써의 신뢰성이 크게 저하된다. 본 연구에서는 힘입자 감지용 광섬유 센서 케이블의 설계와 제작을 위한 제조공법을 확립하고, 이를 이용해 제작된 광섬유 센서 케이블의 신뢰성 특성을 평가하였다. 설계 제작된 광섬유 센서 케이블의 구조는 멀티모드광섬유(MMF) 에 0.9 mm Tight buffer를 코팅하고, 광심선 주위에 아라미드 얀을 삽입시킨 후 고문자 수지를 적용하여 외부 피복 (jacket)을 하였다. 제작된 광섬유 센서 케이블의 외경 측정결과 기준치 (
$2.95\;{\pm}\;0.03$ mm)를 모두 만족하였고, 850 nm 파장에서의 광 손실 측정 결과 4.0 dB/km 이하였다. 또한 주요 항목의 신뢰성 특성 시험결과, 인장강도는 8~10 kg의 인장력을 갖으며 온도순환시험 ($-30^{\circ}C\;{\sim}\;+75^{\circ}C$ )에서의 광 손실은 0.6 dB 이하로 나타나 침입자 감지용 광섬유 센서 케이블로 적합함을 확인할 수 있었다. -
Fabrication of p-type ZnO has already proven difficult and usually inconsistent despite numerous worldwide efforts. Many research groups studied electrical and optical properties P, Li, As, N single doped ZnO thin film. In P-doped ZnO thin film, the reproducibility of p-type conduction with
$P_2O_5$ as a dopant source was shown to be relatively poor. In this study, we made P single doped and Li & P co-doped ZnO target. To investigate electrical and optical properties of P single doped and Li & P co-doped ZnO thin film using$P_2O_5$ and$Li_3PO_4$ dopant source respectively was deposited by PLD. The growth temperature was changed 500,$700^{\circ}C$ and various oxygen partial pressure and post-annealing conditions was changed temperature, different gas ambient($O_2,N_2$ ). We investigate that how to change electrical and optical properties as function of growth temperature, oxygen partial pressure and post-annealing(RTA). -
In this study, we propose characteristics improvement methods according to via hole plating method for FBAR Duplexer with US PCS(
$T_x$ :1850MHz~1910MHz,$R_x$ :1930MHz~1990MHz) bandwidth which is used for wireless systems. Also, we designed and fabricated 3.8*3.8*1.8mm size microminiature FBAR Duplexer based on this proposal. First of all, in this study, we fabricated pentagon shape resonators by different size to make filter combination, and their quality factor(Q) are 687 with 6.6% of$k_{eff}^2$ . Using this resonators, designed 3*2Type$T_x$ filter and 3*4Type$R_x$ filter. The transmission line, which works as phase shifter, is designed with$210{\mu}m$ in width and 18mm in length Stripline type. Inductor, which is used for matching component, is designed with width of$75{\mu}m$ , a technically achievable minimum width. And adopted plating method of filling via hole with conductive epoxy for improved grounding and thermal conductivity. Using these configuration with all of the matching component values, we found Duplexer characteristics of -1.57dB ~ -1.73dB in insertion loss, -56dB in attenuation at 1850MHz~1910MHz of$T_x$ band. Also, found -2.71 dB ~ -3.23dB in insertion loss, -58dB in attenuation at 1930MHz~1990MHz of$R_x$ band. -
Thermoelectric devices were used to wide range of application. At present, increasing the efficiency of these devices, in particular, through the preparation of materials showing a high thermoelectric figure of merit, Z,
$Bi_2Te_3$ and$Sb_2Te_3$ thin films on Si substrates are deposited by flash evaporation method for thermopile sensor applications. In order to enhance the thermoelectric properties of the thin film, annealing in high vacuum is carried out in the temperature range from 200 to$350^{\circ}C$ . The microstructure of the film is investigated by XRD and SEM. The resistivity and Seebeck coefficient of the films are measured by Van der Pauw method and hot probe method respectively. At elevating annealing temperature, the crystallinity and thermoelectrical properties of films are improved by increasing the size of grains. At excessive high annealing temperatures, it is shown that Seebeck coefficient of films is decreased because of Te evaporation. By optimizing the annealing conditions, it is possible to obtain a high performance thin film with a thermoelectric properties. -
Kim, Seon-Hoon;Yang, Myung-Hak;Kim, Tae-Un;Ki, Hyun-Chul;Kim, Doo-Gun;Han, Myung-Soo;Ko, Hang-Ju;Kim, Hyo-Jin;Kim, Hwe-Jong 213
Titanium dioxide ($TiO_2$ ) thin films were prepared on glass substrates by ion-assisted electron-beam evaporation with 200nm thickness and subsequently crystallized by rapid thermal annealing at the rage of$200{\sim}700^{\circ}C$ in flowing$N_2$ gas. The crystal structure of the films were examined by X-ray diffractometer. As-deposited$TiO_2$ films were amorphous. After annealing, anatase and rutile phase of$TiO_2$ films were observed together. -
This paper presents the fabrication and characteristics of microheaters, built on AlN(0.1 um)/3C-SiC(1 um) suspended membranes. Pt was used as microheater and temperature sensor materials. The results of simulated are shown that AlN/3C-SiC membrane has more large uniform-temperature area than
$SiO_2$ /3C-SiC membrane. Resistance of temperature sensor and power consumption of microheater were measured and calculated. Pt microheater generates the heat of about$550^{\circ}C$ at 340 mW and TCR of Pt temperature sensor is about 3188 ppm/$^{\circ}C$ . -
This paper describes a novel processing technique for the fabrication of polymer-derived SiCN (silicone carbonitride) microstructures for extreme microelectromechanical system (MEMS) applications. A polydimethylsiloxane (PDMS) mold was formed on an SU-8 pattern using a standard UV photolithographic process. Next, the liquid precursor, polysilazane, was injected into the PDMS mold to fabricate free-standing SiCN microstructures. Finally, the solid polymer SiCN microstructure was cross-linked using hot isostatic pressure at
$400^{\circ}C$ and 205 bar. The optimal pyrolysis and annealing conditions to form a ceramic microstructure capable of withstanding temperatures over$1400^{\circ}C$ were determined. Using the optimal process conditions, the fabricated SiCN ceramic microstructure possessed excellent characteristics includingshear strength (15.2 N), insulation resistance ($2.163{\times}10^{14}\;{\Omega}$ , and BDV (1.2 kV, minimum). Since the fabricated ceramic SiCN microstructure has improved electrical and physical characteristics compared to bulk Si wafers, it may be applied to harsh environments and high-power MEMS applications such as heat exchangers and combustion chambers. -
This paper describes the characteristics of polycrystalline 3C-SiC doubly clamped beam micro resonators. The polycrystalline 3C-SiC doubly clamped beam resonators with 60 ~ 100
${\mu}m$ lengths,$10\;{\mu}m$ width, and$0.4\;{\mu}m$ thickness were fabricated using a surface micromachining technique. Polycrystalline 3C-SiC micro resonators were actuated by piezoelectric element and their fundamental resonant frequency was measured by a laser vibrometer in vacuum at room temperature. For the 60 ~ 100${\mu}m$ long cantilevers, the fundamental frequency appeared at 373.4 ~ 908.1 kHz. The resonant frequencies of doubly clamped beam with lengths were higher than simulated results because of tensile stress. Therefore, polycrystalline 3C-SiC doubly clamped beam micro resonators are suitable for RF MEMS devices and bio/chemical sensor applications. -
$TiO_2$ thin films were deposited on si wafer and glass substrates by rf magnetron sputtering. The films were coated under argon atmosphere at different working pressures: 3mTorr, 5mTorr, 7mTorr, 10mTorr. The films were annealed at$550^{\circ}C$ for 5h after deposition. Film structures were analyzed with XRD, As the increase of working pressure,$TiO_2$ films have been good crystallinity. At 3mTorr and 5mTorr, the films were observed in rutile phase and anatase phase. -
In case of favourable irradiation conditions, the ratio of irradiation to the total irradiation went up and then the irradiation increased in the area with high angle of inclination. The study showed that on a clear day with the irradiation of more than
$800[W/m^2]$ , the pattern of alternating current power change in the fixed system was similar with that in the single-axis tracker. On the contrary, in case of unfavourable irradiation conditions, the ratio of diffuse irradiation to the total irradiation went up and then the horizontal irradiation increased. In the demo system, the fixed system, the single-axis tracker and the dual-axis tracker all had low generation power and similar generation pattern with each other. The study showed the generation power varied with the irradiation in the fixed system, while in the single-axis tracker and the dual-axis tracker, the amount of the generation power variation was much more than the irradiation variation. The demo system was operated from 11:00 AM to 2:00 PM for generating power, during which time, 46[%] to 56[%] of the total generation power was produced. In this study. the generation power was increased by 147[%] in the fixed system, by 136[%] in the single-axis tracker, and by 164[%] in the dual-axis tracker, and the pattern of generation power was similar with the generation power variation in the situation where the irradiation increased by 140[%] in the spring with plenty of insolation. The alternating current power was more sensitive to variation of the irradiation than to that of the surface temperature of a module. The variation of the irradiation had a more positive effect on the generation power than the type of array. -
SiN 박막을 Pulsed-PECVD를 이용하여 증착하였다. 박막특성으로는 굴절률, 플라즈마의 특성으로는 이온 에너지 분포를 duty ratio의 함수로 분석하였다. 50-100%의 범위에서 duty ratio의 감소에 따라 고 이온 에너지는 크게 증가하였으며, 반대로 저 이온 에너지는 감소되었다. 굴절률은 duty ratio의 감소에 따라 증가되었으며, 모든 duty ratio의 변화에서 1.75-1.81 사이에서 변화하였다. 40-90%의 duty ratio에서 저 이온 에너지 플럭스보다 고 이온 에너지 플럭스가 높았다. 한편, 굴절률의 변화는
$N_h$ 의 변화에 가장 밀접하게 연관되어 있음을 확인할 수 있었다. -
In this paper, designed and simulated Power Splitter (PS) integrated Mach-Zehnder interferometer (MZI) based planar type optical waveguide devices (which is called here a PS-MZI). The PS-MZI optical waveguide sensor was preceded by a Y-junction, which splits the input power between the sensor, and a reference branch, to minimize the effect of optical power variations. The PS-MZI optical waveguide sensor induced changing phases of the incident beam, which had fallen upon the waveguide through computer simulation, according to the small changes in the index of refraction, thus beam intensity was changed. The waveguide were optimized at a wavelength of 1550 nm and fabricated according to the design rule of 0.45 delta%, which is the difference of refractive index between the core and clad. The fabrication of PS-MZI optical waveguide sensor was performed by a conventional planar lightwave circuit (PLC) fabrication process. The PS-MZI optical waveguide that was fabricated to be applied as a biosensor revealed a low insertion loss and a low polarization-dependent loss. After having etched the over-clad at the sensor part in the MZI optical waveguide that was fabricated, Ti deposition was made on the adhesion layer, and then Au thin-film deposition was carried out thereon. In addition, its optical properties were measured by having changed the index of refraction oil at the sensing part of the MZI. To apply the planar type PS-MZI optical waveguide as a biosensor, a detection test for Staphylococcus aureus was conducted according to changes in concentration, having adopted Ti-alkoxide as ligand. The detection result of the S. aureus by the PS-MZI optical waveguide sensor was possible to the level of
$10^1$ CFU/ml. -
Aluminium nitride thin film was deposited on Au electrode and Si substrate by radio frequency sputtering system. X-ray diffraction (XRD) was utilized to identify the AlN phase, and Atomic Force Microscope (AFM) was used to obtain the images of surface morphology and roughness value of AlN thin film. The result of XRD and AFM measurement showed that the AlN thin film has strong c-axs orientation and smooth surface. In order to investigate piezoelectric response and polarization properties along to the direction of electric field, PFM (Piezoresponse Force Microscope) system was used, and the images of piezoelectric response due to switching of polarization was observed by PFM.
-
FBAR(Film Bulk Acoustic Resonator)는 높은 민감도와 실리콘기판을 활용한 집적화의 가능화 때문에 최근 부각되고 있는 바이오센서이다. 특히 AlN 압전층을 이용한 FBAR는 배향특성이 우수하고 높은 음향속도를 가지는 장점을 가지고 있다. 본 논문에서는 AlN의 Full Width at Half Maximum(FWHM)값이
$0.23^{\circ}$ 인 우수한 (002) 방향의 FBAR를 제작한 후 상부 전극위에 Anti-CEA와 CEA를 흡착하여 공진주파수의 변화를 조사하였다. 그 결과 Anti-CEA 흡착 후와 CEA 흡착 후 공진주파수의 변화는 각각 832.875KHz, 941.748KHz이였으며, 각각 3496$Hz{\cdot}cm^2/ng$ , 3482$Hz{\cdot}cm^2/ng$ 의 높은 민감도를 확인하였다. -
$VO_2$ thermistor was fabricated on$Al_2O_3$ substrate. and has a CTR (Critical Temperature Resistor) characteristic.$VO_2$ thermistor has a about$10^6$ resistance($\Omega$ ) in normal temperature. But When temperature is a about$80^{\circ}C$ , Resistance of$VO_2$ thermistor is a about some hundred resistance: The resistance of$VO_2$ thermistor increased with increasing length and decreasing width. -
펄스 레이저 층착법 (이하 PLD)은 다성분계 산화물 박막 또는 다층구조의 박막 제작에 매우 유용한 기술이다. 본 실험에서는 KrF 엑시머 레이저를 이용하여 pt on Si 기판 위에 150nm 두께의
$Bi_{1.5}ZnNb_{1.5}O_7$ (이하 BZN) 박막을 다양한 기판온도에서 제작하였다. XRD를 이용하여 BZN 박막의 구조적 특성을 분석하였고, 박막을 MIM 구조로 제작하여 유정적 특성을 측정하였다. 제조한 BZN 박막은$500^{\circ}C$ 이상에서 결정질을,$500^{\circ}C$ 이하의 온도에서는 비정질 특성을 보였다. 유전 특성은 100 - 400$^{\circ}C$ 영역에서는 온도가 증가함에 따라 졸은 특성을 나타내었고,$500^{\circ}C$ 에서부터는 감소하였다. 증착 온도$400^{\circ}C$ 에서 제작한 BZN 박막이 유전상수가 67.8, 유전 손실이 0.006으로 가장 줄은 유전특성을 나타내었다. -
일반적으로 초음파 유량계에서 초음파를 발생하고 수신하는 압전세라믹 진동자의 특성상
$200^{\circ}C$ 이상의 고온에서는 사용이 불가하여, 각종 화학공정, plant, 발전소 등에서는 사용에 한계를 가지고 있다. 본 연구에서는$400^{\circ}C$ 이상의 유체 흐름을 측정할 수 있는 고온용 초음파 유량계를 설계하고 그 특성을 평가하였다. 우선 고온의 유체에서 압전진동자부로의 열전달이 최소화되도록 트랜스듀서 구조를 도출하고 그 타당성을 유한요소해석을 통하여 검증하였다. 해석을 위해 상용 해석프로그램인 ANSYS를 이용하여 열전달 해석을 실시하였으며, 최종 선정 모델을 제작, 평가하였다. -
박막 재료의 면저항 측정은 일반적으로 FPP(Four-Point Probe)원리를 적용한 측정기률 사용하고 있다. 개발된 면저항 측정기의 특징은 dual configuration 기술을 적용하여 탐침 간격에 대한 시료의 크기 및 두께에 대한 보정계수를 고려하지 않아도 되므로 누구나 업고 정확하게 사용 할 수 있다. 측정범위는
$1\;m{\Omega}{/\square}\;{\sim}\;1\;G{\Omega}{/\square}$ 이며, 반복성과 재현성 및 직선성은 0.1 %이하로서 우수한 특성을 나타냈다. 또한 기존의 면저항 측정기에 적용된 single configuration 기술에서 나타나는 가장자리 효과의 단점을 dual configuration 기술을 적용하여 해결하였고 정밀 정확도를 향상시켰다. 개발된 면저항 측정기의 특성평가는 국가측정표준으로부터 소급성이 유지된 표준저항, 분할저항기, 면저항 인증표준물질 등을 사용하였다. -
This paper describes the influence of a polycrystalline (poly) 3C-SiC buffer layer on the surface acoustic wave (SAW) properties of poly aluminum nitride (AlN) thin films by comparing the center frequency, insertion loss, the electromechanical coupling coefficient (
$k^2$ ), andthetemperaturecoefficientoffrequency(TCF) of an IDT/AlN/3C-SiC structure with those of an IDT/AlN/Si structure, The poly-AlN thin films with an (0002)-preferred orientation were deposited on a silicon (Si) substrate using a pulsed reactive magnetron sputtering system. Results show that the insertion loss (21.92 dB) and TCF (-18 ppm/$^{\circ}C$ ) of the IDT/AlN/3C-SiC structure were improved by a closely matched coefficient of thermal expansion (CTE) and small lattice mismatch (1 %) between the AlN and 3C-SiC. However, a drawback is that the$k^2(0.79%)$ and SAW velocity(5020m/s) of the AlN/3C-SiC SAW device were reduced by appearing in some non-(0002)AlN planes such as the (10$\bar{1}$ 2) and (10$\bar{1}$ 3) AlN planes in the AlN/SiC film. Although disadvantages were shown to exist, the use of the AlN/3C-SiC structure for SAW applications at high temperatures is possible. The characteristics of the AlN thin films were also evaluated using FT-IR spectra, XRD, and AFM images. -
This paper describes the fabrication and characteristics of Schottky micro hydrogen sensors for high temperatures by using polycrystalline(poly) 3C - SiC thin film grown on Si substrates with thermal oxide layer using APCVD. Pd/poiy 3C-SiC Schottky diodes were made and evaluated by I-V and C-V measurements. Electric current density and barrier height voltage were
$2\times10^{-3}\;A/cm^2$ and 0.58 eV, respectively. These devices could operate stably at about$400^{\circ}C$ . According to$H_2$ concentrations, their barrier height($\Phi_{Bn}$ ) were changed 0.587 eV, 0.579 eV, 0.572 eV and 0.569 eV, respectively. the current was increased. Characteristics of implemented sensors have been investigated in terms of sensitivity, linearity of response, response rate and response time. Therefore, from these results, Pd/poly 3C-SiC Schottky devices have very high potential for high temperature chemical sensor applications. -
Zinc oxide (ZnO) thin film was deposited on Si substrates using polycrystalline (poly) 3C-SiC buffer layer, in which the ZnO film was grown by sol-gel method. Physical characteristics of the grown ZnO film was investigated experimentally by means of SEM, XRD, FT-IR (Furier Transform-Infrared spectrum), and AFM. XRD pattern was proved that the grown ZnO film on 3C-SiC layers had highly (002) orientation with low FWHM (Full width of half maxium). These results showed that ZnO thin film grown on 3C-SiC buffer layers can be used for various piezoelectric fields and M/NEMS applications.
-
The amorphous silicon microbolometer array has been developed by the MEMS design and fabrication technology. Before the bolometer array for the image sensor being designed, the structure of unit cell and
$16\times16$ array of it was simulated, designed and fabricated. The properties of bolometer have been measured as such that the TCR -3%/K. -
최근 10년간 우리나라의 에너지 소비는 매년 10[%]라는 세계 최고의 증가율을 기록하고 있으며 온실가스배출량 증가율 역시 세계 1 위를 기록하고 있다. 세계기후협약 이행이 늦추어지고는 있지만 머지않아 우리도 여기에 참여하지 않을 수 없는 형편이어서 대체에너지 개발의 필요성은 더욱 절실하게 요구되고 있는 실정이다. 11개 분야의 신 재생 어|너지 중에서 최근 가장 많은 관심을 갖고 있는 태양광 발전은 태양광을 직접 전기에너지로 변환시키는 기술로서 광을 조사시 광전효과에 의해 전기를 발생하는 원리를 이용한 발전방식이다. 따라서, 본 논문에서는 이러한 구성장치에 의해 넓은 부지가 필요하며 햇빛의 방향에 따라 또는 태양전지에 입사하는 일사량의 정도에 따라 많은 발전전력에 차이가 있으므로 태양광 발전의 경우 발전단가가 높고 효율이 낮기 때문에 일사량에 따른 전력을 측정하여 효율적인 발전에 필요한 조건을 알아 보기위해 2007년 1년간의 실증운전을 통한 일사량과 전력발생량을 비교 분석하였으며 또한 햇빛의 일사량은 시간대별, 일별, 월별, 년별로 각각 달리 측정되므로 각각의 일사량에 따른 전력패턴을 분석하여 태양광 발전에 필요한 일사량과 전력과의 상관관계를 연구하였다.
-
펄스-플라즈마를 이용하여 상온에서 실리콘나이트라이드 박막을 제조하였다. 증착 중에 이온에너지 분석기를 이용하여 이온에너지와 이온에너지, flux를 측정하였다. Duty ratio는 30~90%까지 변화시키면서, 이온에너지와 굴절률의 관계를 연구하였다. Duty ratio의 감소에 따라 이온에너지는 증가하였다. 낮은 Duty ratio의 범위에서 이온에너지 flux의 변화가 현저하였다. 굴절율은 Duty ratio의 변화에 따라 복잡하게 변화하였지만
$N_h$ 과의 강한 상관성을 보였다. 전체 Duty ratio의 변화에 대해 굴절률은 1.819에서 1.846으로 미미하게 변화하였다. -
The
$TiO_2$ nanofiber doped$TiO_2$ electrode area applied to dye-sensitized solar cells(DSSCs). The mixtures of$TiO_2$ nanofiber to$TiO_2$ photoelectrode has larger surface area than$TiO_2$ photoelectrode. In this research added 2.5, 5 and 10wt%$TiO_2$ nanofibers and the optimum condition of 5 wt%$TiO_2$ nanofiber's high surface area contributing the improvement of short-circuit photocurrent. The open-circuit voltage was 0.7V and solar energy conversion efficiency was 5.4%. -
정전용량형 후막 스트레인 게이지(piezocapacitive thick film strain gage)는 세라믹 (
$Al_2O_3$ )을 주 원료로 하는 지지대(약 5mm)와 다이어프램(약$300{\mu}m$ ) 그리고 가드 링으로 구성된다. 전극 판은 도전성 페이스트를 이용하여 지지대와 다이어프램에 형성되었으며 극판 사이에는 유전체 메이스트를 사용하여 스크린 인쇄로 후막을 형성하였다. 극판 사이의 가드 링 두께는 약$30{\mu}m$ 정도로 다이어프램의 변위 최대값을 유지시키는 데 필요한 간격이다. 따라서 정전용랑형 후막 스트레인 게이지는 지지대를 중심으로 다이어프램에 압력 (0.5~1.0bar)이 인가될 때 변위를 발생시키면서 커패시터 값이 압력의 크기에 따라 비례 특성을 가지고 변화하는 것을 이용한 것이다. 압력이 없을때 초기값은 35pF~40pF 정도이고 정격압력의 최대치를 인가시켰을 때 약 55pF~55p를 나타내었다. -
In this work, Ga-doped ZnO (GZO) thin films for toxic gas sensor application were deposited on low temperature co-fired ceramic (LTCC) substrates, by RF magnetron sputtering method. LTCC is one of promising materials for integration with heater, low cost production and high manufacturing yields than silicon substrate. The LTCC substrates with thickness of
$400\;{\mu}m$ were fabricated by laminating 12 greentapes which consist of alumina and glass particle in an organic binder. The GZO thin films deposited on the substrates and were analyzed by X-ray diffraction method (XRD) and field emission scanning electron microscope (FESEM). The films are well crystallized in the hexagonal (wurzite) structure with increasing thickness. The fabricated sensors showed good sensitivity and fast response time to common types of toxic gases (NOx, COx). -
Synthesis of 3D nanostructured flower-like ZnO architecture on ZnO thin-film by hydrothermal processRecently, the control of size, morphology and dimensionality in inorganic materials has been rapidly developed into a promising field in materials chemistry. 3D nanostructured flower-like ZnO architecture with different size and shapes have been simply synthesized via a hydrothermal process, using zinc acetate and ammonium hydroxide as reactants.[1] In this study, the Zno thin-films were deposited by RF magnetron sputtering in other to get high adhesion and uniformity of 3D nanostructured flower-like ZnO architecture on a
$SiO_2$ substrate. The XRD patterns identified that the obtained the nanocrystallized ZnO architecture exhibited a wurtzite structure. SEM images illustrated that the flower-like ZnO bundles consisted of flower-like or chestnut bur, which were characterized by polycrystalline and [0001] preferential orientation. -
Kang, Dae-Won;Kwak, Min-Hwan;Kang, Seong-Beom;Paek, Mun-Cheol;Choi, Sang-Kuk;Kim, Sung-Il;Ryu, Han-Cheol;Kim, Ji-Seon;Jeong, Se-Young;Chung, Dong-Chul;Kang, Kwang-Yong;Lee, Beong-Young 249
We have grown large area BSTO($(Ba_{1-x}Sr_x)TiO_3$ ) thin films (x=0.4) on 2 inch diameter MgO (001) single crystal substrates using a pulse laser deposition(PLD) system. Substrate temperature and oxygen pressure in the deposition chamber, and the laser optics for ablating a target have been controlled to obtain the uniform thickness and preferred orientation of the films. Results of x-ray diffraction and rocking curve analysis revealed that the BSTO films were grown on MgO substrates with a preferred orientation (002), and the full width half maximum of the rocking curve was measured to be 0.86 degree at optimum condition. Roughness of the films have been measured to be$3.42{\AA}$ rms by using atomic force microscopy. We have successfully deposited the large area BSTO thin films of$4000{\AA}$ thickness on 50 mm diameter MgO substrates. -
Thin film of
$SnO_2$ was fabricated from plasma enhanced atomic layer deposition technology with bubbler type injector system by using TEMASn (tetrakisethylmethylamino tin) precursor. Mostly crystalline of$SnO_2$ films can be obtained with oxygen plasma and with water at relatively low temperature of$150^{\circ}C$ .$SnO_2$ was deposited as an uniform rate of$1.0A^{\circ}$ /cycle. In order to obtain uniform film, a seed oxide material was used before TEMASn deposition in ALD process. The process parameters were controlled to obtain dense thin film by atomic deposition methodology. The morphology and characterization of thin film with optimized process condition will be discussed. -
전류에 의한 자속변화를 검출하는 로고스키코일은 자성체를 코어로 이용하는 종전의 변류기 (Current Transformer) 와는 달리 공심이거나 비자성재료를 사용하기 때문에 자기적으로 포화되지 않으므로 일반적으로 디지털 적산 전력량계의 전류센서로 활용되고 있다. 본 연구는 저온소성 다층 세라믹 기판상에 로고스키코일을 적용한 전자식 전력량계의 정밀 전류측정용 센서 개발에 관한 것이며. 3차원 전자기장 해석 프로그램인 MWS를 하여 기판의 소재와 코일의 패턴의 크기 등을 달리하여 그 특성을 알아보고 실제 구현된 센서의 측정된 값과 비교해 보았다.
-
Son, Won-Geon;Chang, Sung-Chin;Kim, Eun-Sup;Moon, Hung-Sin;Kim, Kyung-Min;Park, Sung-Hyun;Shin, Byoung-Chu 253
본 연구는 다결정 알루미나 소결체와 사파이어웨이퍼(sapphire wafer)의 견고한 접합을 위해 활성금속 Ti가 함유된 Active Filler Metal을 사용하였고, 이를 브레이징한 후 접합 반응층과 Ti 거동 특성에 관한 것이다. 브레이징 (brazing)은 Ar 분위기 종에$850^{\circ}C$ 에서 이행하였으며. 이때 다결정 알루미나, 사파이어와 Active Filler Metal 사이의 접합 반응층을 확인하였다. Active Filler Metal 내어| 존재하는 Ti가 접할 반응층의 양계면에 집중되는 것을 SEM을 이용하여 확인하였다. 또한 EDS Line Scanning을 실시하여 접합부에서 원소들의 분포를 관찰하였다. -
에폭시수지에 유기화된 층상실리케이트 나노입자를 충진하여 에폭시-나노콤포지트 제조하였다. 에폭시-나노콤포지트는 열적, 기계적 특성이 매우 우수한 콤포지트로서 실란처리에 따른 동적 기계적 특성 (Dynamic Mechanical Analysis)과 가교밀도와의 관계를 조사였다. 나노입자의 충진함량은 3wt%로 충진하였고, Silane Coupling Agent는 에폭시실란으로서 3-Glycidoxypropyltrimethoxysilane이 사용되었다. 실란처리함량은 0.5, 1, 1.5 wt%로서 적용하여 제조된 샘플이다. DMA Storage modulus특성으로 glass state(
$40^{\circ}C$ )에서는 원형에폭시의 경우 2054, 실란처리되지 않은 나노콤포지트 3967, 실란처리된 나노콤포지트는 4867MPa을 나타내었다. rubbery state($140^{\circ}C$ )에서는 원형에폭시의 경우 1458, 실란처리되지 않은 경우 2506, 실란처리된 나노콤포지트는 2638MPa을 나타내었다. 또한 실란처리함량에따른 가교밀도변화는 0.5wt%에서는 0.803, 1 wt%에서는 0.671, 1.5wt%에서는$0.762[mol/cm^3]$ 이로서 에폭시원형과 실란미처리된 나노콤포지트 그리고 실란처리된 나노콤포지트순으로 glass state와 rubbery state에서의 특성이 크게 향상된 결과를 얻었다. 이는 실란이 고분자와 무기물사이의 결합력을 강화시켜 열적기계적 특성향상을 가져 오는 것으로 볼 수 있다. 가교밀도의 실란처리함량의 변화에 있어서 과량의 함량 첨가는 에폭시와 나노층상실리게이트 표면처리된 잔유량이 오히려 특성의 저하를 가져오는 것으로 볼 수 있다. -
에폭시수지에 층상실리케이트 나노입자를 충진함량별로 충진시킨 나노콤포지트를 제조하여 충진함량의 변화와 분산제 첨가를 통하여 분산제효과를 조사하였다, 충진함량의 변화는 1, 3, 5, 7, 9wt%과 분산제 변화를 05, 1.5, 2.5wt%로 구조적, 열적, 전기적 특성을 조사하였다. 분산처리는 초음파 기법을 적용하여 분산하였다. 구조적 특성으로 X-RD조사한 결과 0.5wt%분산제처리를 한 경우 완전한 박리를 가져오지 못하였고, 부분적인 박리를 가져왔다. 이는 분산제 처리량이 나노입자 표면정체를 제어할수 없기 때문으로 사료된다. 분산제 1.5wt%를 첨가한경우로 충진함량별 X-RD특성은 1wt%는 완전한 박리를 나타내었고 함량의 증가에 따라 박리정도가 약간 낮아지는 경우를 알 수 있다. 이런 경우 전기적특성중 단시간 절연파괴특성에서 나타내고 있다. 충진함량이 낮을수록 스케일파라미터의 값이 높고, 함량이 증가할수록 낮아지는 경우이다. 이는 분산정도에따라 절연파괴강도에 영향을 주고 있음을 알 수 있다. 열적특성에서 유리천이온도는 1,3,5wt%에서는 증가하는 결과를 더욱 충진함량이 증가하면 오히려 감소되는 특성을 나타내고 있다. 결국은 분산정도에따라 전기적 특성 및 열적특성이 크게 변화되는 특성을 나타내었다.
-
Noh, Hyun-Ji;Lee, Sung-Gap;Nam, Sung-Pill;Ahn, Byeong-Lib;Won, Woo-Sik;Woo, Hyoung-Gwan;Park, Sang-Man 257
Nanocomposites of a epoxy resin are synthesized and evaluated the present study investigated. The effect of nanoclay additives on the properties of diglycidyl ether or bisphenol A(DGEBA) epoxy resin. DGEBA was mixed with 3~7 wt% organically modified layered silicate, Cloisite 30B for three hours. The average grain size of the specimens decreased with adding Cloisite 30B. The dielectric constant showed between 3.2 ~ 3.5 and the dielectric loss showed between 3.2 ~ 5.7 % in all specimens. -
Surface contamination and leakage current have caused operating problems. A flashover in a substation may result in destruction of an insulator or many others electrical equipment. Engineering plastics have good characteristic (light weight, good productivity and little of void) as compare with epoxy or porcelain insulators. Outdoor insulator must have resistance to contamination. However, they are not suited to outdoor insulator by reason of being not good hydrophobic. RTV has a good property of hydrophobic and ATH has characteristic obstructing exothermic reaction. In order to reduce the incidence of insulator flashover and damage, the silicon rubber contained nano size ATH coat on surface of engineering plastics. In this paper, it compares resistance tracking of the engineering plastic coated RTV with that of non-coated engineering plastic and ATH filled composites performed much better than non-filled composites.
-
초박형 절연막은 현재 다양한 전자소자의 제작과 향상을 위하여 활용되고 있으며, 일반적인 화학 기상 증착 방법으로는 균일도를 확보하기 어려운 문제점을 가지고 있다. 본 논문에서는 디스플레이의 구동소자로 활용되는 박막 트랜지스터의 특성 향상과 비휘발성 메모리 소자의 터널링 박막에 응용하기 위하여 초박형 실리콘 옥시나이트라이드 박막의 증착과 이의 특성을 분석하였다. 실리콘 옥시나이트라이드 박막은 실리콘 산화막에 질소가 주입되어 있는 형태로 실리콘 산화막과 실리콘 계면상에 존재하는 질소는 터널링 전류와 결함 형성을 감소시키며, bulk 내에 존재하는 질소는 단일 실리콘 산화막에 비해 더 두꺼운 박막을 커패시턴스의 감소없이 이용할 수 있는 장점이 있다. 플라즈마 처리 기법을 이용하였을 경우에는 초박형의 균일한 박막을 얻을 수 있으며, 본 연구에서는 이산화질소 플라즈마를 이용하여 활성화된 질소 및 산소 라디칼들이 실리콘 계면을 개질하여 초박형 실리콘 옥시나이트라이드 박막을 형성활 수 있다. 플라즈마 처리 시간과 RF power의 변화에 따라 형성된 실리콘 옥시나이트라이드 박막의 두께 및 광학적 특성은 엘립소미터를 통하여 분석하였으며, 전기적인 특성은 금속-절연막-실리콘의 MIS 구조를 형성하여 커패시턴스-전압 곡선과 전류-전압 곡선을 사용하여 평가하였다. 이산화질소 플라즈마 처리 방법을 사용한 실리콘 옥시나이트라이드 박막을 log-log 스케일로 시간과 박막 두께의 함수로 전환해보면 선형적인 증가를 나타내며, 이는 초기적으로 증착률이 높고 시간이 지남에 따라 두께 증가가 포화상태에 도달함을 확인할 수 있다. 실리콘 옥시나이트라이드 박막은 초기적으로 산소의 함유량이 많은 형태의 박막으로 구성되며, 시간의 증가에 따라서 질소의 함유량이 증가하여 굴절률이 높고 더욱 치밀한 형태의 박막이 형성되었으며, 이는 시간의 증가에 따라 플라즈마 챔버 내에 존재하는 활성종들은 실리콘 박막의 개질을 통한 실리콘 옥시나이트라이드 박막의 두께 증가에 기여하기 보다는 형성된 박막의 내부적인 성분 변화에 기여하게 된다. 이산화질소 플라즈마 처리 시간의 변화에 따라 형성된 박막의 정기적인 특성의 경우, 2.3 nm 이상의 실리콘 옥시나이트라이드 박막을 가진 MIS 구조에서 accumulation과 inversion의 특성이 명확하게 나타남을 확인할 수 있다. 아산화질소 플라즈마 처리 시간이 짧은 실리콘 옥시나이트라이드 박막의 경우 전압의 변화에 따라 공핍영역에서의 기울기가 현저히 감소하며 이는 플라즈마에 의한 계면 손상으로 계면결합 전하량이 증가에 기인한 것으로 판단된다. 또한, 전류-전압 곡선을 활용하여 측정한 터널링 메카니즘은 2.3 nm 이하의 두께를 가진 실리콘 옥시나이트라이드 박막은 직접 터널링이 주도하며, 2.7 nm 이상의 두께를 가진 실리콘 옥시나이트라이드 박막은 F-N 터널링이 주도하고 있음을 확인할 수 있다. 즉, 2.5 nm 두께를 경계로 하여 실리콘 옥시나이트라이드 박막의 터널링 메카니즘이 변화함을 확인할 수 있다. 결론적으로 2.3 nm 이상의 두께를 가진 실리콘 옥시나이트라이드 박막에서 전기적인 안정성을 확보할수 있어 박막트랜지스터의 절연막으로 활용이 가능하며 2.5 nm 두께를 경계로 터널링 메커니즘이 변화하는 특성을 이용하여 비휘발성 메모리 소자 제작시 전하 주입 및 기억 유지 특성을 확보를 위한 실리콘 옥시나이트라이드 터널링 박막을 효과적으로 선택하여 활용할 수 있다.
-
층상실리케이트 나노입자를 포함한 에폭시수지인 나노콤포지트를 장시간 트리절연내력을 평가하기 위하여 제조하였다. 층살실리케이트를 포함하지 않은 경우보다 월등하게 긴 트리잉파괴 시간을 나타내었다. 더욱이 층상실리케이트 나노입자와 에폭시수지 계면의 효과를 연구하기위해 silane coupling agent을 나노입자에 표면처리하여 장시간 트리잉 파괴에 초점을 맞추었다. 에폭시수지와 층상실리케이트 나노입자사이 커플링 의한 계면결합은 단시간 절연파괴강도와 장시간 트리잉파괴 시간에 중요한 역할을 하고 있음을 알았다. 그 결과는 침선단에 교류 전계강도가 781.42kV/mm(교류 15kV, 침선단 곡률반경
$5{\mu}m$ ) 절연파괴시간을 측정한 결과 나노입자가 충진된 경우 트리개시시간이 24,726분이었고, 파괴에 이르는 시간은 29,213분이 걸렸다. 반면에 실란을 처리하지 않은 경우 파괴시간은 11,591분 이었다. 충진된 층살실리케이트 나노입자의 함량은 3wt%로 하였으며, 이와같은 파괴시간 지연 결과의 향상이 152%향상된 결과는 계면의 결합력이 크게 향상되어 나타낸 경우로 사료된다. -
Noh, Hyun-Ji;Lee, Sung-Gap;Nam, Sung-Pill;Kim, Da-Mi;Ahn, Byeong-Lib;Won, Woo-Sik;Woo, Hyoung-Gwan 262
To add Nanofiller in the epoxy which is used with the solid insulation material of existing and is a research which observes the improvement of the structural quality to produce the Nanocomposite. Montmorillonite uses with Nanofiller, MMT of the content expense (wt%) which is various and mixed an epoxide and produced sample. According to content of the sample result MMT according to respectively content expense to measure SEM photographing which is the possibility of knowing the minute structure of section with sample where is produced and the tensile strength will be able to observe the change of quality. MMT silicate layer uniformly more in the result and within epoxy matrix, being dispersed, will be able to observe. -
In this study, each specimen blended at weight proportions of 80% HDPE to 20% EVA, 70% HDPE to 30% EVA, 60% HDPE to 40% EVA, and 50% HDPE to 50% EVA was manufactured respectively. FE-SEM analysis were carried out as auxiliary analysis means. The insulation performances of the proposed insulator were compared with conventional XLPE, main insulating material of CV cable on the basis of the investigation results of AC insulation performances.
-
현재 도시철도 전력설비의 진단시스템은 각 설비별로 산재되어 있어 종합적 상태를 실시간으로 모니터링 할 수 있는 시스템 구축이 필요하다. 특히 전력설비 고장을 사전에 검출하고 최적의 수명을 예측하기 위해서 표준화되고 객관적인 상태 판단을 위한 시스템 도입이 필요하다. 또한 도시철도 변전소가 무인으로 운영됨에 따라 전력실비 자체의 온라인 상시감시 및 자기진단을 수행할 수 있는 다양한 시스템을 도입이 필요하다. 본 연구에서는 도시철도 주요 전력설비 인 도시철도용 (AC/DC) 변압기, 단로기, 차단기, 정류기, GIS에 설치되는 각종 센서, 센서에서의 데이터를 측정하는 이상검출장치에서의 데이터를 수집하는 데이터취득장치, 데이터취득장치와 서버를 연결하는 통신제어장치, 모니터링 프로그램과 수명예측 프로그램이 설치된 서버를 포함하여 각종 부가 장치를 포함하는 변전기기 수명예측을 위한 전체 시스템의 개발에 대하여 기술 하였다. 또한 무선기반의 고장진단 검측 시스템을 구현하고 데이터 획득 기술에 대하여 나타내었다.
-
Resistance standards from 1
${\Omega}$ to 100$k{\Omega}$ , with calculable frequency dependencies of up to 1 MHz, have been developed for the calibration of commercial inductance-capacitance-resistance (LCR) meters and impedance analyzers. The resistors are designed on the basis of single bifilar loops. The typical resistance change from dc to 1 MHz is from 200 to$800\;{\mu}{\Omega}/{\Omega}$ . According to the measurement results, the frequency dependencies of the resistors are severaltimes lower than the measurement uncertainty of commercial LCR meters. -
Kim, Dae-Hyun;Kim, Sung-Min;Cho, In-Young;Kim, Woo-Il;Kwon, Dong-Won;Son, Jong-Ho;Ryu, Jae-Jin;Kim, Kyeong-Hyeon;Lee, Seung-Hee 269
The biased vertical alignment (BVA) liquid crystal (LC) mode shows a has a distinct advantage of lower manufacture cost due to the elimination of a lithographic process step to form either ITO-patterning or protrusions on the color-filter substrates. However, those devices have complex voltage conditions which is the respective induce voltage on common electrode, pixel electrode and bias electrode when positive and negative frame. In order to overcome the complex voltage condition, the pretilt angles is controlled by photo polymerization of the UV-curable reactive mesogen (RM). According to our studies, voltages to the cell are critical to achieve an optimized surface-modified quality BVA (Q-BVA) mode which provides the well defined reorientation of the LCs with respect to an electric field. -
Jeon, Eun-Jeong;Kwon, Dong-Won;Kang, Byeong-Gyun;Lim, Young-Jin;Kim, Jong-Hoon;Seong, Hyeon-Jun;Lee, Myong-Hoon;Lee, Seung-Hee 271
We fabricated a hybrid aligned film using reactive rod-like mesogen to reduce light leakage of twisted nematic(TN) cell in off-axis of the dark state. We proved that the fabricated compensation film has hybrid alignment by changing phase retardation according to polar angle. In this paper, we confirmed characteristics of compensation film through simulation results and found the matching factor of simulation results and experimental result. In result, the maximum pretilt angle of hybrid compensated film is$19^{\circ}$ which has phase retardation 0.1445. -
Oh, Seul-Yi;Jo, Ju-Ung;Gang, Seong-Hui;Lee, Seung-Min;Yang, Jong-Kyung;Lee, Ju-Seong;Park, Dae-Hee 273
현재 가로등의 93.3[%]를 차지하고 있는 나트륨램프는 색상이 적황색이며, 시력에 장애와 피로감을 주어 인체에 해로우므로 고효율 램프로 교체되고 있으며 백열전구는 전력을 많이 소모하고 잦은 고장으로 빈번한 대체와 유지보수를 필요로 하므로 LED를 선택하면, 유지보수 비용과 안전성, 에너지 효율성면에서 큰 강점을 갖는다. 본 연구에서는 에너지 효율이 높은 LED 가로등 제작을 위한 모듈을 제작하여, 열적, 광학적 분석을 하였다. 동작 150분 후, LED Module의 PCB기판 6 Point에서 Thermal Grease와 Thermal Pad의 처리에 의한 열적 변화를 측정하였으며, Thermal Grease 처리는 Thermal Pad 보다 평균 3$[^{\circ}C]$ 정도 낮은 35$[^{\circ}C]$ 결과를 얻었으며, 제작된 LED Module의 광학적평가의 결과는 10 [m] 높이에서$20\times20\;[m^2]$ 면적에 조사된 조도의 최대,최소값은 10.9~0.6 [lx]이고, 주로 10~5 [lx] 값이 조도의 면적을 차지하였으며, 곡선은 옆으로 넓게 펼쳐진 곡선을 나타내었다. -
We have studied physical properties of organic light-emitting diodes (OLEDs) in a device with 7,7,8,8-tetracyanoquinodimethane (TCNQ). Since the TCNQ has a high electron affinity, it is widely used for a charge-transport and injection layer. And the TCNQ-derivatives have also been used to control the conductivity of the materials. It is known that a charge injection and transport in OLEDs with a TCNQ-derivative enhances a performance of the devices such as operating voltage and efficiency. To see how the TCNQ affects on the device performance, we have made a reference device in a structure of ITO(170nm)/TPD(40nm)/
$Alq_3$ (60nm)/LiF(0.5nm)/Al(100nm). And several type of devices were manufactured by doping TCNQ either in TPD or$Alq_3$ layer. The TCNQ layer was also formed in between the organic layers. N,N'-diphenyl-N,N'-di(m-tolyl)-benzidine (TPD), tri(8-hydroxy quinoline) aluminium ($Alq_3$ ), and TCNQ layers were formed by thermal evaporation at a pressure of$10^{-6}$ torr. The deposition rate was$1.0{\sim}1.5\;{\AA}/s$ for TPD, and$1.0{\sim}1.5\;{\AA}$ for$Alq_3$ . The LiF was thermally evaporated at a deposition rate of$0.2\;{\AA}/s$ successively. The device with TCNQ-derivative improved the turn-on voltage compared to the one without TCNQ-derivative. -
Joo, Hyun-Woo;Mok, Rang-Gyun;Kim, Tae-Wan;Jang, Kyung-Wook;Song, Min-Jong;Lee, Ho-Shik;An, Hui-Chul;Na, Su-Hwan 277
We have studied an angular dependence of emission pattern of top-emssion organic light-emitting diodes (TEOLED). Device structure is Al(100nm)/TPD(40nm)/$Alq_3$ (60nm)/LiF(0.5nm)/Al(2nm)/Ag(30nm). N,N'-diphenyl-N,N'-di(m-tolyl)-benzidine (TPD) and tris-(8-hydroxyquinoline) aluminium ($Alq_3$ )were used as a hole transport layer and emission layer, respectively. Organic layers and cathode were thermally evaporated at$2\times10^{-5}$ torr. The evaporation rate of the organic material was maintained to be$1.5\sim2.0{\AA}/s$ , and that of metal layer to be$0.5\sim5{\AA}/s$ . A transmittance of a cathode electrode(Al/Ag) in visible region is about 25~30%. In order to measure view-angle dependent intensity, electroluminenscence spectra of the device at each angle were integrated. Angle dependent emission spectra of the device do not show blue shift. Emission intensity of the device that the going straight characteristic is stronger the bottom-emission organic light-emitting diodes is shown. -
Organic field-effect transistors (OFETs) are of interest for use in widely area electronic applications. We fabricated a copper phthalocyanine (CuPc) based field-effect transistor with different metal electrode. The CuPc FET device was made a top-contact type and the substrate temperature was room temperature. The source and drain electrodes were used an Au and Al materials. The CuPc thickness was 40nm, and the channel length was
$50{\mu}m$ , channel width was 3mm. We observed a typical current-voltage (I-V) characteristics in CuPc FET with different electrode materials. -
Electrical analysis of red, green and blue (RGB) organic light emitting diode (OLED), which were measured at various temperatures from 230K to 370K by steps of 20K, were investigated using current-voltage(I-V) characteristics. Ideality factor and series resistance were obtained from the thermionic emission theory. Experimental results showed that the ideality factors were 2.12 for red, 3.80 for green, and 6.03 for blue OLED at 290K, respectively. The series resistance were 1960, 2190, 2630
$\Omega$ for red, green and blue OLED at the same temperature. It was found that the OLED ideality factors were much higher than near unity for well-behaved silicon diodes, because of the organic material and multi-layer fabrication diode. In addition, the series resistance was near 2k$\Omega$ range. More researches are required to reduce both ideality factors and series resistance to increase the efficiency of OLEDs. -
In the research, we have proposed a novel encapsulation with simple process and steady film for external environment in comparison with conventional encapsulation method. This was designed to cover the emitting organic material from air. Silicon 야oxide was used for thin film of encapsulation and the deposition thickness of the organic film was 220 nm. Operating voltage of green OLED with encapsulation was 5.5 V and luminance was 7.370 cd/
$m^2$ at the applied voltage of 14.5 V. Luminance was measured in 10 hour intervals at the air-exposed condition. After 110 hours and 300 hours, luminances of green OLED were 7,368 and 7,367 cd/$m^2$ , respectively. Luminance of green JLED doesn't decrease until 300 hours. As a results, proposed encapsulation can increase the life time of green OLED. -
We have fabricated semiconductor nanocrystals using phase separation on flexible substrates for future application in QD-LEDs. The phase separation between the CdSe semiconductor nanocrystals and TPD organic underlayer can occur during the solvent drying, and the CdSe may rise towards the surface of the coated films, which is arranged into close packed array called self-assembly process. In this work, the polyethylene naphthalate (PEN) films of
$200{\mu}m$ thickness was used as a flexible substrate, which was coated with indium tin oxide(ITO) as a transparent electrode of <$15{\Omega}/cm^2$ . A number of solvents such as chloroform, toluene, and hexane was used and their coating properties were investigated using the spin coating process. The dispersion of both QD and TPD was rather poor in toluene and hexane and resulted in rougher surface and some aggregates. Meanwhile, the surface roughness of templates can be a very critical issue in the fabrication of QD-LED devices. Some experiments was performed to reduce the ~4nm surface roughness of the PEN films and It can be decreased to the minimum of ~0.7nm. Also discussed are the optical properties of semiconductor nanocrystals used in this phase separation and possible large area and continuous coating process for future application. -
When the laser diode is operated with continuous current, the light intensity from the laser diode deceases with time due to the temperature rise in the active layer. The phenomena, which is often called as DROOP, should be minimized in order to be used as a light source for the laser beam printer. We experimently examined the influences of the laser parameters such as threshold current, differential quantum efficiency on droop. It was found that decreasing the differential quantum efficiency of the laser diode is the effective way to minimize droop.
-
We have studied polarity effects of dielectric anisotropy effects on electro-optical characteristics of a twisted nematic mode driven by fringe electric field, which has wide viewing angle characteristics. Our device is designed as normally black mode between parallel polarizers. The perfect polarization conversion of incident light, which passes through a polarizer, is achieved, when it passes through the twisted liquid crystal (LC) layer. If an electric field is applied, the LC molecules with a positive (or negative) dielectric anisotropy rotate parallel (or perpendicular) to the horizontal component of a fringe electric field as increasing transmittance. From the calculated results, enhanced transmittance of the fringe field-twisted nematic (FF-TN) mode with positive dielectric anisotropy of + 8.2 can be obtained.
-
Light emitting diode(LED) has many advantages including lower energy consumption and longer lifetime and eco-friendly in comparison with traditional light sources. Spheric plastic lens generally used in LED lighting occurs aberration and ghost image which give displeasure and deteriorate vision quality in human eyes. Using the optical program (LightTools
$^{TM}$ , CodeV$^{TM}$ ), we were confirm the aberration and ghost image in optical simulation and employed aspheric lens form in the lens design to improve these problems. From the comparison of the simulation results between the aspheric lens and the spheric lens, we were ascertain to be improved both aberration and Ghost image. -
Blu-ray Disk, the next generation optical information storing equipment used Blu-ray is the next generation leading storing equipment that has capacity is about from six times to thirty-five times bigger than the existing CDs, DVDs. Especially, we need elaborate optical pick-Up equipment to record and recognize detailed date. Moreover, Blu-ray disk has so narrow track-pitch so it is used high NA(Numerical Aperture) aspheric glass objective lens. In this research, we processed optical pick-up aspheric glass objective lens molding press core by parallel grinding method with ultra precision machining and mold core surface measured form accuracy(PV), surface roughness(Ra).
-
ZnS:Mn/Cu,Cl 계 나노 형광체의 특성을 살펴보았다. 실험에서는 ZnS:Mn 과 ZnS:Cu,Cl 형광체 파우더를 이용하여 밀링을 통하여 분쇄하여 EL 소자를 제작하였다. 형광체 파우더를 볼밀에
$\Phi5mm$ 의 지르코나이 볼과 에탄올과 함께 넣고 2, 4, 6, 8, 10일간 밀링을 하였다. 밀링한 형광체 파우더를 SEM을 통하여 파우더의 사이즈를 관찰하였다. 또 이 파우더를 이용하여 EL 소자를 제작하였다. 소자의 구조는 기판은 알루미나 기판을, 하부 전극은 Au, 유전체는$BaTiO_3$ 유전체 페이스트를 사용하였으며, 형광체 적층 후 ITO 전극을 스퍼터를 이용하여 증착하여 제작하였다. 제작한 소자를 이용하여 소자의 휘도 등 발광 특성을 살펴보았다. -
OLED(Organic Light Emitting Device)는 LCD(Liquid Crystal Display)의 뒤를 잇는 차세대 디스플레이의 선두주자로서 자체발광형이기 때문에 백라이트 등의 보조광원이 불필요하며, 구동전압이 낮고 넓은 시야각과 빠른 응답속도 등의 특징을 가지고 있다. 또한 플렉서블 기판을 사용할 수 있어 차세대 디스플레이인 플렉서블 디스플레이에 적합하다. 플렉서블한 디스플레이를 만들기 위해서 플라스틱 기판에 OLED 물질을 사용하여 기존에 무겁고, 깨지기 쉬우며, 변형이 불가능한 유리로 만든 소자 보다 더 가볍고 깨지지 않고 변형이 가능한 플렉서블 디스플레이를 제작 할 수 있다. 그러나 플라스틱 기판은 매우 큰 투습율을 가지고 있어 OLED소자에 적용시키면 공기 중의 수분이나 산소와 접촉이 많아져 쉽게 산화되어 소자의 효율 및 수명이 짧아진다. 또한 OLED에 사용되는 유기물도 산소나 수분에 의해 특성이 급격히 저하되기 때문에 산소 및 수분의 차단은 필수적이다. 이러한 단점을 최소화하기 위해서 PECVD(Plasma Enhanced Chemical Vapor Deposition)로 만든 SiON(Silicon Oxynitride) 박막을 차단막(Passivation layer)으로 사용하였다. PECVD를 이용하여 SiON 박막을 증착시킬 때 RF Power, 공정압력, Distance의 변화에 따른 박막의 결정화도, 수분투습도, 광투과도 등의 특성을 FT-IR(Fourier Transform Infrared Spectroscopy), Ellipsometer, UV-visible Spectrophotometer, MOCON를 이용하여 SiON 박막의 특성을 고찰하였다.
-
We proposed a novel single gap transflective liquid crystal display (LCD) using liquid crystal with negative dielectric anisotropy. We designed cell structure driven by fringe electric field in the transmissive (T) part and vertical electric field in the reflective (R) part. In the device, high surface pretilt angle of the LC in the R-part is achieved through polymerization of an UV curable reactive mesogen (RM) monomer at surfaces. By optimizing the parameters, a newly developed transflective display has characteristics such as single gap and single gamma curve.
-
Kim, Min-Su;Kang, Byeong-Gyun;Jung, Jun-Ho;Ha, Kyung-Su;Song, Eun-Gyoung;Yoon, Suk-In;Kim, Mi-Young;Lee, Myong-Hoon;Lee, Seung-Hee 295
There are various application of liquid crystal materials to devices, especially, blue phase liquid crystal (BPLC) and nano-structured liquid crystal mixture have been studied recently because BPs existing temperature range has been expanded by polymer-stabilization and liquid crystal has been confined in room which has certain coherence length so that their particular characters, such as fast response time and optically isotropic state at no electric field, could apply to advanced liquid crystal display devices. However, there is an crucial problem which is high operating voltage from low Kerr constant and limited electric field utilization using in-plain electric field. In this paper, we will analyze cell structure in the way of using electric field and show effective electric field utilization to reduce operating voltage. -
대부분의 반도체 소자의 고장 원인은 85%정도가 열로 인한 것이며, 고출력 LED는 인가된 에너지의 20%정도의 광으로 출력되며 나머지 80%가 열로 전환된다. 본 논문에서는 PMS-50과 KEITHLEY 2430을 이용하여 PCB 구조와 Via hole 구성에 따른 LED 패키지의 열적 광학적 특성을 분석하였다. 0.6mm의 Via hole을 가진 FR4 PCB의 열특성이 가장 우수하였으며, Via hole 0.6mm FR4 PCB의 경우 McPCB에 상응하는 광출력 특성을 보였다.
-
An, Hui-Chul;Na, Su-Hwan;Joo, Hyun-Woo;Mok, Rang-Kyun;Jung, Kyung-Seo;Chio, Seong-Jea;Kim, Tae-Wan 299
We have studied an organic layer and semitransparent Al cathode thickness dependent optical properties for top-emission organic light-emitting diodes. Device structure is ITO(170nm)/TPD(xnm)/$Alq_3$ (ynm)/LiF(0.5nm)/Al(100nm) and Al(100nm)/TPD(xnm)/$Alq_3$ (ynm)/LiF(0.5nm)/Al(25nm). While a thickness of total, organic layer was varied from 85nm to 165nm, a ratio of those two layers was kept to be about 2:3. Then it was compared with that of bottom devices. And a thickness of semitransparent Al cathode was varied from 20nm to 30nm for the device with an organic layer thickness of 140nm. We were able to control the emission spectra from the top-emission organic light-emitting diodes. -
The Green emitting OLED was fabricated with the structure of ITO(plasm treatment)/TPD(
$400\;{\AA}$ )/$Alq_3(600\;{\AA})$ /LiF($5\;{\AA}$ )/Al($1200\;{\AA}$ ). Turn-on voltage of PMOLED was 7 V and luminance was 7,371 cd/$m^2$ at the RF power of 25W. O2 plasma treatment of ITO surface was result in lowering the operating voltage and improving luminance of green OLED. -
We fabricated organic field-effect transistors (OFETs) based a fluorinated copper phthalocyanine. (
$F_{16}CuPc$ ) as an active layer. And we observed the surface morphology of the$F_{16}CuPc$ thin film. The$F_{16}CuPc$ thin film thickness was 40nm, and the channel length was$50{\mu}m$ , channel width was 3mm. We observed the typical current-voltage (I-V) characteristics and capacitance-voltage (C-V) in$F_{16}CuPc$ FET and we calculated the effective mobility. -
In this study, we have invastigated the recombination zone in the blue phosphorescent organic light-emitting devices with various partially doped structures. The basic device structure of the blue PHOLED was anode / hole injection layer (HIL) / hole transport layer (HTL) / emittingvastigated the recombination zone in the blue layer (EML) / hole blocking layer (HBL) / electron transport layer (ETL) / electron injection layer (EIL) / cathode. After the preparation of the blue PHOLED, the current density (J) - voltage (V) - luminance (L) and current efficiency characteristics were measured.
-
We have proposed an index matching film to improve the emitting efficiency of green OLED. Here, SiO2 and TiO2 were selected to coat the green OLED. The structures of index matching film were designed in G1ass/TiO2/SiO2/ITO and SiO2/TiO2/Glass/ITO. Then, these materials were deposited by ion-assisted deposition system. Transmittances of deposited devices were 86.14 and 85.07 %, respectively.
-
In-Ga-Zn-O (IGZO) has drawn much attention as a compatible material for transparent thin film transistors (TTFT) channel layer due to its high mobility and optical transparency at low processing temperatures. In this work, we investigated the effect of oxygen ambient on structural, electrical and optical properties of amorphous In-Ga-Zn-O (IGZO) thin films by using pulsed laser deposition (PLD). The films were deposited at various oxygen pressures and the structural, electrical and optical properties were investigated. X-ray diffraction (XRD) analysis showed that amorphous IGZO films were grown at all oxygen pressures. The surface morphology and optical properties with various oxygen pressures were studied by field emission scanning electron microscopy (FE-SEM) and UV-VIS spectroscopy, respectively. The grain boundary was observed more apparently and the calculated optical band gap became larger as oxygen pressure increased. To examine the electrical properties, Hall-effect measurements were carried out. The films showed high mobility.
-
Oh, Dong-Hoon;Lim, Jin-Taek;Lee, Moo-Ah;Moon, Sun-Young;Jang, Kwon-Woo;Choi, Hyun-Min;Kim, Weon-Jong;Hong, Jin-Woong 310
In the two structure of ITO/N,N'-diphenyl-N,N' bis (3-methylphenyl)-1,1'-biphenyl-4,4'-diamine(TPD)/R-H : R-D/Al device, ITO/Amorphous Fluoropolymers/TPD/R-H : R-D/LiF/Al device. we studied the effect of organic materials defending on the electrical characteristics of red OLEDs. The thickness of TPD and R-H : R-D was manufactured 40 nm, 60 nm, respectively under a base pressure of$5\times10^{-6}$ Torr using a thermal evaporation. The AF used for an hole-injection is the thickness of 0.5 [nm] and the LiF used for an electron-injection is the thickness of 0.5 [nm]. Compared to the two from the devices made with the hole injection and without hole injection We found that the luminous efficiency and the external quantum efficiency are improved a fact of one- hundred, two, respectively. -
Carbon nanotubes (CNTs) belong to an ideal material for field emitters because of their superior electrical, mechanical, and chemical properties together with unique geometric features. Several applications of CNTs to field emitters have been demonstrated in electron emission devices such as field emission display (FED), backlight unit (BLU), X-ray source, etc. In this study, we fabricated a CNT cathode by using filtration processes. First, an aqueous CNT solution was prepared by ultrasonically dispersing purified single-walled CNTs (SWCNTs) in deionized water with sodium dodecyl sulfate (SDS). The aqueous CNT solution in a milliliter or even several tens of micro-litters was filtered by an alumina membrane through the vacuum filtration, and an ultra-thin CNT film was formed onto the alumina membrane. Thereafter, the alumina membrane was solvated by acetone, and the floating CNT film was easily transferred to indium-tin-oxide (ITO) glass substrate in an area defined as 1 cm with a film mask. The CNT film was subjected to an activation process with an adhesive roller, erecting the CNTs up to serve as electron emitters. In order to measure their luminance characteristics, an ITO-coated glass substrate having phosphor was employed as an anode plate. Our field emitter array (FEA) was fairly transparent unlike conventional FEAs, which enabled light to emit not only through the anode frontside but also through the cathode backside, where luminace on the cathode backside was higher than that on the anode frontside. Futhermore, we added a reflecting metal layer to cathode or anode side to enhance the luminance of light passing through the other side. In one case, the metal layer was formed onto the bottom face of the cathode substrate and reflected the light back so that light passed only through the anode substrate. In the other case, the reflecting layer coated on the anode substrate made all light go only through the cathode substrate. Among the two cases, the latter showed higher luminance than the former. This study will discuss the morphologies and field emission characteristics of CNT emitters according to the experimental parameters in fabricating the lamps emitting light on the both sides or only on the either side.
-
본 연구에서는 Thermal evaporator(저항 가열 식 진공 증착법) 장비를 활용하여 ZnS/
$Na_3AlF_6$ /ZnS/Cu-$0.25\lambda$ , ZnS/$CaF_2$ /ZnS/Cu-$0.25\lambda$ 의 다층 박막을 glass 기판위에 증착하였다. 증착 전에 EMP(Essential Macleod Program)을 활용하여 광학적 특성을 simulation하였으며 다층 박막 제작 후 Spectrophotometer를 사용하여 반사율 및 색상을 CIE$L^*a^*b^*$ 좌표에 표시하여 고 굴절 물질에 따른 광학적 특성을 EMP simulation과 비교하였다. AES depth profile을 분석하여 막의 두께 및 층간 확산여부에 관하여 관측 하였다. 저굴절 물질을 Na3AlF6로 사용하였을 경우 simulation과 결과 값 모en purple 계통의 색상을 나타냈으며 CaF2를 사용하였을 경우 simulation은 purple 계통의 색상, 결과값은 red-yellow 계통의 색상으로 나타났다. -
OLED 소자는 직접발광, 광시야각, 그리고 빠른 응답속도 때문에 동영상에 적합하여 최근 각광받고 있는 디스플레이장치 중의 하나이다. OLED 소자의 양극재료로는 높은 광투과율과
$\sim10^{-4}{\Omega}\;cm$ 수준의 낮은 전기 비저항을 갖는 ITO (Sn-doped$In_2O_3$ )가 널리 사용되고 있다. 하지만 원료 물질인 인듐의 수급량 부족으로 인한 문제점과 독성, 저온증착의 어려움, 스퍼터링시 음이온 충격에 의한 막 손상으로 저항의 증가의 문제점이 있고, 또한 액정디스플레이의 투명전극으로 사용될 경우$400\;^{\circ}C$ 정도의 높은 온도와 수소 플라즈마 분위기에서 장시간 노출 시 열화로 인한 광학적 특성변화가 문제가 된다. 반면에 Al이 도핑 된 ZnO (AZO)박막은 넓은 밴드갭 (3.37eV)와 400nm에서 700nm 사이의 가시광 영역에서 80% 이상의 우수한 투과성을 지니고 있다. 특히 Al이 도핑된 ZnO는 박막의 전기적 특성이 크게 향상되어 디스플레이나 태양전지로의 응용이 가능하다. 또한 비교적 낮은 비용과 플라즈마에서의 안정성, 무독성, 그리고 전기전도성과 같은 많은 이점이 있다. 그 결과 AZO 박막은 ITO기판을 대안하는 지원물질로 활발히 연구가 진행되고 있다. 본 연구에서는 TCO 박막의 면 저항과 표면 거칠기에 따른 OLED 소자의 특성을 분석하였다. ITO와 AZO 박막은 챔버 내 다양한 가스 분위기(Ar, Ar+$O_2$ and Ar+$H_2$ )에서 R.F Magnetron Sputtering방법으로 증착하였다. TCO 박막의 구조적인 이해를 돕기 위해서 X-ray diffraction 과 FESEM으로 분석하였다. 광학적 투과도와 박막의 두께는 ultraviolet spectrophotometer (Varian, cary-500)와 surface profile measurement system으로 각각 측정하였다. 면저항 charge carrier 농도, 그리고 TCO 박막의 이동도와 같은 전기적특성은 four-point probe와 hall effect measurement(HMS-3000)로 각각 측정하였다. TCO 박막의 표면 거칠기 조절을 위해 photo lithography 공정을 사용하여 TCO 박막을 화학에칭 하였다. 미세사이즈 패턴 마스크가 사용되었으며 에칭의 깊이는 에칭시간에 따라 조절하였다. TCO 박막의 표면 형태는 FESEM과 AFM으로 관찰하였다. 투명전극으로 사용되는 ITO 및 AZO 기판 상용화를 위해 ITO 및 AZO 기판 위에${\alpha}$ -NPB, Alq3, LiF, Al 의 순서로 증착 및 패터닝함으로써 OLED 소자를 제작하였다. 전류밀도와 전압 그리고 발광휘도와 전압과 같은 전기적 특성은 spectrometer(minolta CS-1000A)를 이용하여 측정하였다. -
-
본 논문에서는 1W 급 이상의 고출력 LED 용 패키지로서 세라믹 LTCC 적층 패키지의 방열 특성을 평가하고 열해석 결과와의 차이에 대해 고찰하였다. 특히, 세라믹 패키지의 방열 특성을 향상시키기 위해 Thermal Via와 Heat slug를 LED Chip 하단부에 위치시켰을 때 방열 특성을 평가하기 위해 Transient Thermal Test를 이용하여 각각의 경우에 대한 열저항을 평가하여 방열 특성의 항상 정도를 확인하였으며, 열해석 시뮬레이션을 통해 얻은 결과와 비교하였다. 평가 결과 Heat slug를 배치한 패키지가 열저항이
$8^{\circ}C/W$ 로서 가장 우수한 특성을 보여주었으며, 열해석 결과와의 차이에 대해서는 광출력으로 방출된 전력을 계산하여 보정함으로써$1^{\circ}C$ 이하의 편차를 보여주는 결과를 얻을 수 있었다. -
Kang, Byeong-Gyun;Jeon, Eun-Jeong;Lim, Young-Jin;Kim, Mi-Young;Lee, Kyu;Lee, Young-Hee;Lee, Seung-Hee 317
In this paper, we observed stretching of carbon nanotube (CNT) aggregates driven by the electric filed in a nematic liquid crystal (LC) medium. The CNT aggregates started to stretch above a threshold filed which is$1.5\;V/{\mu}m$ and the original CNT length which is$1.7{\mu}m$ was stretching up to$19.2\;{\mu}m$ at$3.5\;V/{\mu}m$ electric filed. When the CNT aggregates became to stretching, the width and length of CNT aggregates became narrow and long, respectively. The original morphology of the CNT aggregates was restored upon removal of filed. -
In this study, high-quality Al-N doped p-type ZnO thin films were deposited on n-type Si (100) wafer or Si coated with buffer layer by DC magnetron sputtering in the mixture of
$N_2$ and$O_2$ gas. The target was ceramic ZnO mixed with$Al_2O_3$ (2 wt%). The p-type ZnO thin film showed higher carrier concentration$2.93\times10^{17}cm^{-3}$ , lower resistivity of$5.349\;{\Omega}cm$ and mobility of$3.99\;cm^2V^{-1}S^{-1}$ , respectively. According to PL spectrum, the Al donor energy level depth ($E_d$ ) of Al-N codoped p-type ZnO film was reduced to about 51 meV, and the N acceptor energy level depth ($E_a$ ) was reduced to 63 meV, respectively. -
Al doped ZnO films deposited on glass substrate using RF magnetron sputtering in Ar and
$Ar+H_2$ gas ambient at$100^{\circ}C$ . The films deposited in$Ar+H_2$ were hydrogen-annealed at the temperature of$150\sim300^{\circ}C$ for 1hr. The lowest resistivity of$4.25\times10^{-4}{\Omega}cm$ was obtained for the AZO film deposited in$Ar+H_2$ after hydrogen annealing at$300^{\circ}C$ for 1hr. The average transmittance is above 85% in the range of 400-1000 nm for all films. The absorption efficiency of solar cell was improved by using the optimized AZO films as a top electrode. -
We investigated the electrical properties of tris-isopropylsilylethynyl (TIPS)-pentacene organic thin-film transistors (OTFTs) employing Ni/Ag source/drain electrodes. The gap height between the gate insulator and S/D electrode was controlled by changing the thickness of Ag under-layer(20, 30, 40 and 50nm). After evaporating the Ni under-layer, TIPS pentacene channel material was dropping the gap between the gate insulator and SID electrodes. The electrical proprieties of OTFT such as filed-effect mobility, on/off ratio, threshold voltage and subthreshold slope were significantly influenced by the gap height.
-
We studied the effect of ITO surface treatment by using
$O_2$ plasma to enhance the emission efficiency of the Organic Light Emitting Diodes (OLEDs). The luminance efficiency and the operational stability were improved with an ITO anode treated at the optimized conditions. -
ZnO with a large band gap (~3.37 eV) and exciton binding energy (~60 meV), is suitable for optoelectronic applications such as ultraviolet (UV) light emitting diodes (LEDs) and detectors. However, the ZnO-based p-n homojunction is not readily available because it is difficult to fabricate reproducible p-type ZnO with high hall concentration and mobility. In order to solve this problem, there have been numerous attempts to develop p-n heterojunction LEDs with ZnO as the n-type layer. The n-ZnO/p-GaN heterostructure is a good candidate for ZnO-based heterojunction LEDs because of their similar physical properties and the reproducible availability of p-type GaN. Especially, the reduced lattice mismatch (~1.8 %) and similar crystal structure result in the advantage of acquiring high performance LED devices. In particular, a number of ZnO films show UV band-edge emission with visible deep-level emission, which is originated from point defects such as oxygen vacancy, oxygen interstitial, zinc interstitial[1]. Thus, defect-related peak positions can be controlled by variation of growth or annealing conditions. In this work, the undoped ZnO film was grown on the p-GaN:Mg film using RF magnetron sputtering method. The undoped ZnO/p-GaN:Mg heterojunctions were annealed in a horizontal tube furnace. The annealing process was performed at
$800^{\circ}C$ during 30 to 90 min in air ambient to observe the variation of the defect states in the ZnO film. Photoluminescence measurements were performed in order to confirm the deep-level position of the ZnO film. As a result, the deep-level emission showed orange-red color in the as-deposited film, while the defect-related peak positions of annealed films were shifted to greenish side as increasing annealing time. Furthermore, the electrical resistivity of the ZnO film was decreased after annealing process. The I-V characteristic of the LEDs showed nonlinear and rectifying behavior. The room-temperature electroluminescence (EL) was observed under forward bias. The EL showed a weak white and strong yellowish emission colors (~575 nm) in the undoped ZnO/p-GaN:Mg heterojunctions before and after annealing process, respectively. -
This paper presents the effect of organic Ag complex sintering temperature on the MEH-PPV photoluminescence (PL) properties. MEH-PPV and organic Ag complex was coated on the glass substrate by spin coating method. The coated Ag complex was sintered in an air atmosphere. The sintering temperature was varied from 100 to
$200^{\circ}C$ and sintering time was 5 min. The Ag film sintered at temperature higher than$120^{\circ}C$ shows very low sheet resistance less than$0.5\;{\Omega}{/\square}$ . The coated MEH-PPV measure photoluminescence (PL) intensity at 580 nm. The PL peak was shifted to the higher wavelength with increasing the sintering temperature. -
For the high-performance Quantum dots-Light Emitting Diodes in the near-infrared and visible spectrum, adequate electro- and hole-transporting layers are required. The operation lifetimes of typical materials used in OLEDs are very limited and degraded especially by the oxygen and humid atmosphere. In this work, NiO was selected as a possible hole-transporting layer replacing the TPD film used in QD-LEDs. About 40-nm-thick NiO films have been deposited by the rf-sputtering method on various technical substrates such as FTO/glass, ITO/glass, and ITO/PEN. For the balance of charge carriers and quenching consideration, the resistivity of the deposited NiO films was investigated controlling the oxygen in the sputtering gas. NiO films were fabricated at room temperature and about 6mTorr using pure Ar, 2.5%-, 5%-, and 10%-mixed
$O_2$ in Ar respectively. We also investigated the rf-power dependence on NiO films in the range of 80 ~ 200 Watts. The resistivity of the samples was varied from highly conductive to resistive state. Also discussed are the surface roughness of NiO films to provide the smooth surface for the deposition of QDs. -
Structural, Electrical and Optical Properties of
$HfO_2$ Films for Gate Dielectric Material of TTFTsHafnium oxide ($HfO_2$ ) attracted by one of the potential candidates for the replacement of si-based oxides. For applications of the high-k gate dielectric material, high thermodynamic stability and low interface-trap density are required. Furthermore, the amorphous film structure would be more effective to reduce the leakage current. To search the gate oxide materials, metal-insulator-metal (MIM) capacitors was fabricated by pulsed laser deposition (PLD) on indium tin oxide (ITO) coated glass with different oxygen pressures (30 and 50 mTorr) at room temperature, and they were deposited by Au/Ti metal as the top electrode patterned by conventional photolithography with an area of$3.14\times10^{-4}\;cm^2$ . The results of XRD patterns indicate that all films have amorphous phase. Field emission scanning electron microscopy (FE-SEM) images show that the thickness of the$HfO_2$ films is typical 50 nm, and the grain size of the$HfO_2$ films increases as the oxygen pressure increases. The capacitance and leakage current of films were measured by a Agilent 4284A LCR meter and Keithley 4200 semiconductor parameter analyzer, respectively. Capacitance-voltage characteristics show that the capacitance at 1 MHz are 150 and 58 nF, and leakage current density of films indicate$7.8\times10^{-4}$ and$1.6\times10^{-3}\;A/cm^2$ grown at 30 and 50 mTorr, respectively. The optical properties of the$HfO_2$ films were demonstrated by UV-VIS spectrophotometer (Scinco, S-3100) having the wavelength from 190 to 900 nm. Because films show high transmittance (around 85 %), they are suitable as transparent devices. -
In this paper, we have deposited silicon nitride films by plasma-enhanced chemical vapor deposition (PECVD). For films deposited under optimized conditions, the mechanism of plasma-enhanced vapor deposition of silicon nitride is studied by varying process parameters such as rf power, gas ratio, and chamber pressure. It was demonstrated that organic light-emitting diode(OLEDs) were fabricated with the inorganic passivation layer processing. We have been studied the inorganic film encapsulation effect for organic light-emitting diodes (OLED). To evaluate the passivation layer, we have carried out the fabrication of OLEDs and investigate with luminescence and MOCON.
-
본 논문에서는 질화갈륨 (GaN) 표면에 나노-스피어 리소그라피를 가능하게 하기 위하여 친수성으로 개질을 시도하였다. 플라즈마 처리 공정 변수인 공정 파워, 처리시간을 변화시키면서 표면을 개질하였으며, 친수성 개질을 확인하기 위하여 접촉각 및 표면 자유 에너지 변화를 측정 계산하였다. 또한 FT-IR 분석을 통하여 표면 작용기를 확인하였다. 최종적으로 본 실험의 결과로 얻어진 친수성이 질화갈륨 표면의 나노-스피어 리소그라피에 얼마나 큰 영향을 주는지 표면 모폴리지를 SEM을 이용하여 관찰하였다.
-
Kim, Byeong-Guk;Lee, Seok-Jin;Jung, Tae-Hwan;Kim, Jung-Yeon;Park, Jae-Hwan;Lim, Dong-Gun;Yang, Kea-Joon 335
저가격 고효율 실리콘 태양전지를 구현하기 위하여 핵심적으로 적용되는 공정인 SOD(Spin on Doping) 확산공정 최적화에 관하여 연구하였다. n-type 도핑 물질로는 인(P509)을 사용하였으며, Spinning 속도와 Spinning 시간을 각 3000 rpm, 30 초로 고정하고 급속 열처리로에서 확산 온도와 확산 시간을$800\;^{\circ}C\;{\sim}\;950\;^{\circ}C$ , 2 분에서 20 분까지 가변하며 확산공정을 실시하였다. 4-Point Probe 장비로 에미터 표면 저항을 측정한 결과 확산 온도$850\;^{\circ}C$ 에서 5분간 열처리 하여 확산 공정을 하였을 때 저가의 고효율 실리콘 태양전지를 구현하는데 적용 하기위한$30\;{\sim}\;50\;{\Omega}$ -sq의 에미터 표면 저항을 만족 시키는$36\;{\Omega}$ -sq의 값을 얻을 수 있었다. -
가시광선영역에서 매우 균일한 높은 투과성뿐만 아니라 근적외선영역에서 가파른 홉수성 엣지와 함께 낮은 투과율을 제공하는 산화구리(II)를 함유하는 포스페이트 유리는 컬러 비디오 카메라의 컬러 보정 필터, 발광 컬러 디스플레이용 보호판(sheild), 모노크로메이터의 미광 필터, 플라스틱 복합재 필터의 무기 성분 및 CCD(전하 결합 소자) 및 CMOS(상보성 금속 산화물 반도체) 카메라 및 검출기 분야용 필터 유리로서 사용된다. 용융온도 및 산화구리(II) 첨가량에 따른 투과율을 측정하기 위해 포스페이트 유리 시료를
$1100\sim1500^{\circ}C$ 용융한 후$400^{\circ}C$ 에서 2시간 동안 어닐링 공정을 거쳐 제조하였다. 제조된 시료는 두께 0.3mm로 폴리싱하여 자외선-적외선 분광 광도기를 이용하여 광학적 특성을 측정하였다. 본 실험을 통하여 용융온도에 따라 가시광선영역 및 근적외선영역에서의 투과율 거동을 비교한 결과$1100\sim1200^{\circ}C$ 에서의 우수한 투과율 특성을 나타냈다. 용융온도가 내려감에 따른 가시광선영역 (400~600nm)에서 높은 투과율 및 근적외선영역 (750~1100nm)에서 낮은 투과율과 가파른 흡수성 엣지를 나타냈다. -
To date, rubbing has been widely used to align LC molecules uniformly. Although rubbing can be simple, it has fundamental problems such as the generation of defects by dust and static electricity, and difficulty in achieving a uniform LC alignment on a large substrate. Therefore, noncontact alignment has been investigated. Ion beam induced alignment method, which provides controllability, nonstop process, and high resolution display. We investigated the high pretilt angle effects on electro-optical properties of ion beam (IB)-irradiated liquid crystal cells. on a blended polymer surface. High pretilt angle of liquid crystals IB-irradiated on a blended polymer surface including such as 5% and 10% of homeotropic polymer contents can' be achieved. The threshold voltages of IB-irradiated twisted nematic (TN) cells on a blended polymer surface decrease with increasing the pretilt angle. Also, the rising time of IB-irradiated TN cells decreases with increasing the pretilt angle. However the decay time of IB-irradiated TN cells increases with increasing the pretilt angle. Consequently, the electro-optical properties of IB-irradiated TN cells depend strongly on the pretilt angle in a blended polymer surface.
-
유기발광소자는 자체발광 및 유연한 디스플레이 소자로의 응용 가능성이 높아 많은 사람들의 관심을 끌고 있다. 하지만 아직 소자의 효율 및 수명의 한계로 인하여 부분적인 상용화만이 진행된 상황이다. 본 논문에서는 이러한 유기발광소자의 효율 및 수명에 직접적인 영향을 끼치는 전기전도메커니즘에 대하여 온도별, 발광층의 두께별 전기전도메커니즘을 전류-전압특성을 통해 살펴보았다. 연구를 통해 저전계 영역에서의 전도는 옴영역에서 열여기된 전하의 이동이 원인임을 알 수 있었고 고전계영역에서는 높은 전계에 의한 전하의 터널링에 의해 일어남을 알 수 있었다.
-
초전도 케이블의 대용량 전력수송능력을 실계통에 적용하기 위해서는 정상상태 뿐 아니라 계통운용상 발생하는 비정상 상태에 대해서도 안정된 성능을 나타내야 한다. 계통운영시 가장 일반적으로 발생하는 비정상 상태의 하나인 계통불평형부하 상태에서의 초전도 케이블의 특성을 실증적으로 확인하기 위해 한전 고창전력시험센터에서 신뢰성시험을 실시하였다. 삼상 송전계통에서 각 상 도체전류는 각 상의 부하량에 의해 결정이 되므로 과도상태라 해도 상당한 시간동안 도체전류가 불평형일 경우 자기적으로 결합된 차폐층전류의 불평형상태가 발생한다. 차폐층전류는 삼상 순환회로의 중성도체가 존재하지 않는 경우 도체전류와의 차이가 발생하게 되어 자기차폐 실패의 원인이 됨으로서 AC손실 증가요인으로서 영향이 커진다. 본 논문에서는 도체전류의 불평형 상태를 실증적으로 모의 발생시켜 연관된 차폐층 순환전류의 형태 및 특성을 검토함으로서 삼상 초전도 케이블의 불평형 부하특성에 대한 연구 진행경과를 요약한다.
-
Ha, Dong-Woo;Kim, Tae-Hyung;Kwon, Jun-Mo;Sohn, Myung-Hwan;Baik, Seung-Kyu;Oh, Sang-Soo;Ha, Hong-Soo;Ko, Rock-Kil;Kim, Ho-Sup;Kim, Young-Hun;Ha, Tae-Wook 342
Paper factories use a large amount of water and same amount of wastewater is generated. It is important to purity and recycle the wastewater because of water shortages and water pollution. The existing water treatment facilities like precipitation process need large-scale equipment and wide space to purity the wastewater of paper factory. High gradient magnetic separation (HGMS) system has the merits to purity rapidly because of large voids at filter and to occupy small space. In this paper, two types of superconducting magnets were used for HGMS systems. Cryo-cooled Bi-2223 superconducting magnet system with 70 mm room temperature bore and 200 mm of height was prepared. Cryo-cooled Nb-Ti superconducting magnet with 100 mm room temperature bore and 600 mm of height was used for magnetic separator. Magnetic filters were designed by the analysis of magnetic field distribution at superconducting magnets. The various magnetic seeding reactions were investigated to increase the reactivity of coagulation. The effects of magnetic separation of wastewater were investigated as variation of magnetic field strength and flow rate of wastewater. -
The flux-coupling type superconducting fault current limiter(SFCL) is composed of a series transformer and superconducting unit of the YBCO coated conductor. The primary and secondary coils in the transformer were wound in series each other through an iron core and the YBCO coated conductor was connected with secondary coil in parallel. In a normal condition, the flux generated from a primary coil is cancelled out by its structure and the zero resistance of the YBCO thin films. When a fault occurs, the resistance of the YBCO coated conductor was generated and the fault current was limited by the SFCL. In this paper, we investigated the fault current limiting characteristics according to turn ratio in the flux-coupling type SFCL. The experiment results that the fault current limiting characteristics was improved according to turn ratio.
-
저손실 고투자율 특성을 갖는
$\alpha$ -Fe 나노결정 자심재료를 제조하기 위해 열처리 온도를 변화시켰으며 투자율과 신호전송 특성을 측정한 결과$510^{\circ}C$ 에서 열처리된 자심재료에서 가장 우수한 특성을 얻었다. 저주파 대역에서의 신호전송 특성은 자심재료의 자기적 특성에 지배적인 영향을 받으며, 고주파 대역의 신호전송 특성은 임피던스 매칭으로 향상시킬 수 있었다. 에어-캡은$500{\mu}m$ 이상 적용할 때, 100 A이상까지 안정적인 특성을 발휘하였다. 그리고 고역통과 필터의 설계와 신호결합장치에의 적용을 통해 통신대역 이외의 노이즈를 제거할 수 있었다. -
본 논문에서는 최근 한류용 소자로 널리 이용되고 있는 여러 가지 Coated Conductor들에 대한 렌치 특성을 조사하였다. 이를 위해 전원전압을 증가시키면서 임의의 시간동안 퀜치 특성을 조사하였으며, 이는 한류소자의 한류시간별 가용전압을 산정하는데 있어 중요한 지표가 된다.
-
Superconducting magnetic bearing and rotating system were developed by utilizing the high magnetization YBaCuO superconductor. The pellets prepared by quasi-melt process had a high magnetic levitation force and a high magnetic attractive force. The shaft also could be moves its position and orientation of the rotating axis freely. Is is essential to enhance the materials properties and to improve the system design for the application of the system to industrial purpose.
-
이중의 망간 페로브스카이트 블록을 가진 Ruddlesden-Popper 상 (R-P phase)
$Sr_3Mn_2O_7$ 은 공기중에서 불안정하다. 본 연구에서는 Sr이온 자리에 La, Ca이온을 치환함으로써 R-P상을 안정화 시켰으며, 이들의 결정구조는 Neutron Diffraction 데이터를 이용하여 Rietveld 법으로 정밀화하였다$La_{1.4}Sr_{0.8}Ca_{0.8}Mn_2O_7$ ,$La_{1.2}Sr_{0.9}Ca_{0.9}Mn_2O_7$ 은$T_N$ 이 80K이며 25K에서 spin-glass 변이가 관찰되었다. -
It is an important task to construct a recycling society with a low damage on the environment in our century. Magnetic separation is expected to be applied for the industrial waste treatment as an important supporting technology. In the magnetic separation of dry condition, the cohesive force between particles is strong compared with that in the wet condition's magnetic separation. The use of high magnetic field by the superconducting magnet enhances the powder's magnetic substance capture ability of the magnetic separation. In this study, the POSCO's coolant sludge of hot rolled steel was used for the superconducting magnetic separation of dry condition. Cryo-cooled NB-Ti superconducting magnet with 100 mm room temperature bore and 600 mm of height was used for magnetic separator.
-
Kim, Tea-Hyung;Ha, Dong-Woo;Oh, Sang-Soo;Kim, Ho-Sup;Ko, Rock-Kil;Lee, Nam-Jin;Kwon, Jun-Mo;Kim, Young-Hun;Kung, Chae-Hun;Ha, Tae-Wook 354
We have developed the prototypes of superconducting magnetic separation system with high temperature superconductor wire. This separation filter system consist of magnetized matrix SUS430 wire and acrylic frame. This study introduced rolled steel process coolant wastewater applied superconductor HGMS(High Gradient Magnetic Separation). HGMS treatment have acted high efficient method for various wastewater. We have surveyed superconducting magnetic separation technology and reviewed the status of related industries using applied superconductivity. In our basic preliminary experiment using HGMS, it was made clear that the fine para-magnetic particles in the wastewater obtained from rolling process of steel can be separated with high efficiency. We investigated the ability of magnetic flock formation, which used inorganic materials and polymer coagulants. We had a purpose to remove SS of coolant at steel factory. Maximum coagulation remove rate of SS 98%. Removing ratio of$Fe_3O_4$ fine particles in wastewater showed over than 99% in the wastewater containing magnetic fines after four times of repetition of separation. -
In this paper, we investigated the fault current limiting characteristics according to the increase of voltage in the separates three-phase flux-lock type high-Tc superconducting fault current limiter using YBCO CC. The separated three-phase flux-lock type SFCL consists of single-phase flux-phase type SFCL in each phase. Superconductor was using the YBCO CC. To analyze the current limiting characteristics of a three-phase flux-lock type SFCL, the short circuit experiments were carded out fault such as the triple line-to-ground fault. The experimental result shows that fault current limiting characteristics was improved on the high voltage level.
-
YBCO Coated Conductor is named by Second generation Superconductor Tapes. It is different with First generation Superconductor Tapes. YBCO Coated Tape's Specific difference with BSCCO Tape is more strong mechanical Durability. This is important role to apply to Superconducting Machines. For mechanical transforming of YBCO Coated Tapes, We are using the well designed former. The Merit of Transformation is several. First, We vary the Superconducting Characteristics according to mechanical stress. Second, We reduce the Volume of Superconductor, So We achieve reducing the volume of Superconducting Machines. On this Study, We experiment the transporting Current Characteristics of two types YBCO Coated Conductor. The one is Stainless Stabilized YBCO Conductor, and the other one is Copper Stabilized YBCO Conductor.
-
홈 네트워크 구축의 핵심 부품인 전력선 통신용 블로킹 필터를 제조하였으며, 신호 감쇄 특성을 측정하고 적용가능성을 평가 하였다. 전자장 모의 해석을 통하여 자심재료에 대한 포화자속밀도 특성을 분석 하였으며, 최소의 크기를 갖는 I 형상의 자심재료에
$3.2\Phi$ 의 나동선을 10.5턴 권선하여 대용량 인덕터를 제조하고,$4.3\;{\mu}H$ 의 인덕턴스를 구현하였다. 설계된 대용량 인덕터를 적용하여 30A급의 블로킹 필터를 제조하였고, 1.7 MHz ~ 30 MHz 대역에서의 -60 dB의 신호감쇄 특성을 얻었다. -
Sohn, Song-Ho;Yang, Hyung-Suk;Lim, Ji-Hyun;Choi, Ha-Ok;Kim, Dong-Lak;Ryoo, Hee-Suk;Hwang, Si-Dole 361
KEPCO High Temperature Superconducting (HTS) cable system rated with$3\Phi$ , 22.9kV, 1250A was laid in 2006, and the long term test is in progress. The HTS cable system with the cooling system has been operated below cryogenic temperature. That environment exposes the system to the thermo-mechanical stress due to the significant temperature difference, and the cooling system has moving parts for the forced circulation of the coolant. Therefore the HTS cable system experiences thermal fatigue and moving part such as liquid nitrogen pump need a regular replacement every 5000 hours Building the assessment criterion, the maintenance procedure was established and regular preventive maintenance was done; improvement of the termination structure and the replacement of the bearing of liquid nitrogen pump. Following the proper process, the reliability assessment test including He leakage detection and the stability of flow rate was performed. This paper describes the process and result of the first regular maintenance of KEPCO HTS cable system -
$MgB_2$ bulk samples were sintered at different ambient. In this work, high purity Ar gas was added with oxygen and hydrogen gas, which can be regarded as impurity in a sense, as a possible dopant in the$MgB_2$ . It was found that oxygen in the sintering ambient leads to a decrease in the critical current density$J_c$ at self field and lower fields. However, we can obtained higher$J_c$ at higher fields. It was also noted that$MgB_2$ samples sintered with 5% hydrogen in Ar revealed the increased$J_c$ at all fields compared to those processed in pure Ar ambient. From the XRD and FESEM analysis, the impurity gas in Ar can refine the$MgB_2$ grain size and result in increased grain. boundary, which can act as a strong flux pinning sites in$MgB_2$ samples. Also discussed are the effects of sintering ambient on irreversibility field,$H_{irr}$ and the upper critical field,$H_{C2}$ . -
본 연구는 IEC/TC 90 규격을 참조하여 Cu stabilizer/Ag/
$LaMnO_3$ /IBAD-MgO/$Y_2O_3$ /$Al_2O_3$ /Hastelloy C276/Cu stabilizer의 구조를 가지는 coated conductor의 DC 임계 전류의 결정에 대한 사전 연구를 위해 (1) 시편의 냉각시간, (2) 전류 선의 용량을 변화하여 임계 전류의 변화를 관찰하여 다음과 같은 결과를 얻었다. (1) 5분 이상의 시편 냉각시간은 COV가 약 0.4% 정도로 거의 임계 전류 결정에 영향을 주지 않았으며, 냉각 시간이 길면 길수록 임께 전류 이하에서 전압의 기저면이 낮아지는 경향을 보였다. (2) 전류 선의 용량이 클수록 임계 전류 이하에 전압의 기저면이 낮아지는 경향을 보였다. -
This study researched into the manufacture of current-limit module of using YBCO coated conductor. Regarding over-current of exceeding the critical current, the quench characteristics were researched according to the stabilizing layer through tendency in resistance, which is generated in conductor, by applying over-current to YBCO coated conductors, which have stabilizing layer. YBCO coated conductors, which were used in experiment, include one kind such as the conductor, which used stainless as the stabilizing layer. The critical current is 70 A. And, the critical temperature is with 90 K. First of all, the quench generation was researched under over-current of exceeding the critical current by using this YBCO coated conductors. The tendency of a rise in the detected voltage according to the applied current was measured. And, the tendency of a rise in resistance through voltage-current curve was measured. As a result, the point of time in thermal quench of conductor, which has stainless as the stabilizing layer, could be confirmed to be fast.
-
BSCCO HTS(High Temperature Superconductor) could be applied to superconducting cable, magnet and motor, using its hight critical properties. Especially, superconducting cable has a hight possibility of practical use due to the possibility of low voltage and high capacity transmission caused by its lower power loss than copper cable. In this paper, the transport characteristics of BSCCO superconducting cable, according to the change of BSCCO superconducting cable's accident point at phase
$0^{\circ}$ and$45^{\circ}C$ , were analyzed and compared each other. Consequently, when the accident was occur the resistance of the HTS was higher at the point phase$0^{\circ}$ than$45^{\circ}$ which means it will cause much higher load on the HTS. -
전력선 통신용 접촉식 커플러의 제조 방법 확립과 응용 가능성을 파악하기 위해 440V이하의 저압용 3상 4선식 접촉식 커플러를 설계 및 제조하였다. 60Hz의 교류 전압 신호를 차단하는 캐패시터의 용량이 증가할수록 삽입 손실의 증가하였으며, 68nF의 캐패시터를 적용하여 90~450kHz, 2~30MHz의 전력선통신 주파수 대역에서 최소 삽입 손실 특성을 얻었다. 커플링 트랜스의 권선수 증가는 전자계 결합계수의 상승으로 자기유도 효율이 증가하여 삽입 손실 특성이 증가하였으며. 6턴 이상의 권선수에서는 거의 일정한 삽입 손실 특성을 나타내었다. 설계 완료된 접촉식 커플러를 이용하여 전력선 통신 주파수인 90~450kHz, 2~30MHz 대역에서
$-2\pm1dB$ 의 삽입 손실 특성을 얻었다. -
For the application of superconducting wires to fault current limiting devices, it is required that they have a high rated voltage when a fault occurs. Stabilizer-free coated conductors, particularly, shows a good performance for the high rated voltage, which is beyond 0.6 V/cm. In this study, using the stabilizer-free coated conductors, we made fault current limiting devices and examined their characteristics. Fault current limiting devices were fabricated with a shape of the cylinder of a mono-filar coil winding. Stabilizer-free coated conductors were wound along the mono-filar coil line and the terminal parts between the wire and metal were soldered using In solder. Two kinds of devices were fabricated by a different method in the terminal joint, one was made by a soldering and the other was made by a soldering-free joint. Critical currents and resistance at the joint parts were measured. In addition, long-time current flowing tests were also carried out for the characterization of the fault current limiting devices.
-
In this work, the effects of substrate on the formation of YBaCuO by CVD were investigated by observing the microstructure and the crystallographic orientation and by measuring the temperature dependence of electrical resistance. Source materials used to synthesize the YBaCuO superconducting film were beta-diketone chelates of Y, Ba and Cu. These chelates were evaporated at
$137-264^{\circ}C$ . The source vapors of Y, Ba and Cu were transported into hot zone by using Ar gas and$O_2$ gas was introduced separately. -
We have fabricated pure YBCO films and
$BaZrO_3$ doped ones on$CeO_2$ buffered YSZ single crystal substrates using rf-sputtering method. In this work, pure YBCO and 2 vol% BZO doped YBCO target were used to investigate the flux pinning properties of BZO doped YBCO films compared to undoped ones. BZO nanodots within the superconducting materials was known to comprise the self-assembled columnar defects along the c-axis from the bottom of YBCO films up to the top surface, thus can be a very strong pinning sites in the applied magnetic field parallel to them. We will discuss the possibility of growing self-assembled columnar defects in the rf-sputtering method. It is speculated that BZO and YBCO phases can separate and BZO form nanodots surrounded by YBCO epitaxial layers and continuous phase separation and ordering between these two materials, which was well studied in Pulsed Laser Deposition method. For this purpose, some severe experimental conditions such as on-axis sputtering, shorter target-substrate distance, high rf-power, etc was adopted and their results will be presented. -
For many large-scale applications of high-temperature superconducting materials, large critical current density(
$J_c$ ) in high applied magnetic fields are required. A number of methods have been reported to introduce artificial pinning centers(APCs) in$YBa_2Cu_3O_{7-\delta}$ (YBCO) films for enhancement of their$J_c$ . We report measurements of critical current in$YBa_2Cu_3O_{7-\delta}$ films deposited by PLD on$SrTiO_3$ substrates decorated with Au nanoparticles. Au nanoparticles were synthesized on STO substrates with self assembled monolayer. Microstructural analysis of the obtained YBCO films was performed by using cross-section transmission electron microscopy(TEM). Phase and textural analysis was done using X-ray diffraction. The surface morphology and surface roughness(Ra) of the layers was measured by atomic force microscopy(AFM). -
Kim, Jeoung-Sik;Jeong, In-Bum;Lee, Hyuk-Jin;Choi, Kwang-Jin;Ryu, Boo-Hyung;Lee, Choong-Ho;Hong, Jin-Woong 376
In the study the affect of$SiO_$ depending on the characteristics of break-down, we have experimented the break-down for the$SiO_$ and variable temperature change after mading nano$SiO_$ of the diameter 12 [nm] at the epoxy resin. As the experimental results, we have continued that the break-down strength is increased at the adding change but the break-down strength is decreased again as the 0.4 [wt%] standard. Also, the break-down voltage is not changed at 25, 50 [$^{\circ}C$ ] as the temperate change. But break-down voltage is largely changed when temperate is rising up. -
High intensity metal halide discharge lamp performance, specifically the generated luminous flux and light color content, depends critically on the arc tube design. Factors influencing the design and consequent lamp efficacy include : lamp size, geometry, arc tube composition, fill chemistry, electrode design and excitation modes. Shaping of Polycrystalline Alumina(PCA) can be realized by conventional ceramic processes. Several processes are applied nowadays. Well-known in the ceramic high pressure field for decades are the pressing and the extrusion method. Newly developed slurry and precious forming technologies give the one-body seamless tubes, which improve thickness uniformity and lighting performance. Now, we reported some optical properties with different arc tube structures of ceramic metal halide lamps.
-
This paper describes effect of the bending strain of FRP tube for composite bushing with winding tension. The composite bushing can be formed, by adding silicone rubber sheds to a tube of composite materials. The FRP tube is internal insulating part of a composite bushing and is designed to ensure the mechanical characteristics. Generally the properties of FRP tube can be influenced by the winding angle, wall thickness and winding tension. As winding tension is increased glass contents was increased in the range of 70.4~76.6%. In the bending test, winding tension is increased residual displacement was decreased in the range of 14.0~12.2 mm.
-
This research is aimed improvement of the performance of weakly relativistic oversized BWOs. Detailed examination of field properties is required. It is necessary to examine the effect of SWS end conditions on the oversized BWO operation, which has not been reported in the previous literature.
-
에폭시 수지에 유기화된 층상실리케이트 나노입자를 1wt% 충진한 경우 나노콤포지트와 마이크로 입자를 50wt% 충진한 경우 마이크로 콤포지트를 제조하였다. 초음파 분산법을 이용하여 나노 및 마이크로입자를 120분 동안 분산시킨 에폭시- 나노/마이크로 콤포지트이다. 나노콤포지트와 마이크로콤포지트의 단시간 교류절연파괴특성을 조사하기 위해 와이블 분포 plot을 통하여 나타내었다. 와이블 plot은 기울기로서 형상파라미터를 나타낸 경우로서 이는 파괴강도의 균질성을 의미하게 된다. 63.2% 누적분포함수를 나타낸 경우 척도파라미터로서 나타내어진다. 마이크로 콤포지트의 경우 형상파라미터가 2.99, 나노콤포지트는 8.96를 나타내었다. 또한 마이크로 콤포지트 및 나노콤포지트 스케일 파라미터는 164.25kV/mm, 245kV/mm를 얻었다. 또한 B10수명의 경우 마이크로콤포지트와 나노콤포지트의 경우 77.57kV/mm, 139.3 6kV/mm로서 나노콤포지트의 경우 완전하게 박리가 일어난 경우이다. 마이크로 입자를 분산시켜 입자간거리와 나노입자를 분산시켜 박리가 일어난 경우 입자간거리는 대단히 큰 차이를 나타내고 있다. 나노입자가 교번전계 하에서 주입된 전하 및 케리어 이동을 억제하는 경우로 이와같은 결과를 얻을 수 있다.
-
This paper deals with assessment of equipotential bonding and electrical continuity in Buinding by investigation on the spot at construction site. The assessment was carried out for continuity of steelwork in reinforced concrete structure, bonding conductor, protective conductor. A new grounding system based on international standards includes unity grounding system, structure grounding utilizing steel reinforced concrete, equipotential bonding, use of surge protective device.
-
Choi, Hyun-Min;Kim, Joung-Sik;Kim, Won-Jong;Park, Young-Ha;Kim, Gwi-Yeol;Shin, Jong-Yeol;Lee, Jong-Yong;Hong, Jin-Woong 387
In the study the volume resistivity Characteristics of epoxy resin using nanocomposites, nano-comosites are made from insulating material epoxy resin using for power transformer equipment and molding several devices as changing amount of addition of diameter 12 [nm]$SiO_2$ , we measured volume resistivity of nano-composites by High Resistance Meter(4329A). As the result of measurement, we have seen the epoxy resin using 1.6 [wt%] nanocomposites was the highest measured at the volume resistivity, and using 0.4 [wt%] nanocomposites was highest stabilized than others according to variable temperature properties. -
The use of arc tubes made of ceramic material further enhanced some of the metal halide lamp's properties. These properties translate into higher efficacy with better color rendering, stable color through lamp long life. Recently, due to an increase in the application of the ceramic metal-halide lamp, the study for the property etc. according to Ballast's driving scheme and the study for arc tube material, optimization of gas and so on are being proceeded to improve the property of the lamp. Especially, to control ceramic metal-halide lamp, the vigorous study and practical use with respect to Electronic Ballast, which has been improved in the disadvantages of the conventional Magnetic Ballast are made. In this paper, Electrical characteristics and gas insulation destroy time are analyzed by comparing magnetic ballast with electronic ballast.
-
Recently, composite hollow bushings have been increasingly employed mainly from the various characteristics. Composite bushings are superior to porcelain bushings in several respects, including lighter weight, better anti-pollution and anti-explosion properties, and easer manufacturing. This paper deals with the optimal thermal fitting for improved tightness characteristics of composite bushing. Two types of composite bushings were fabricated. For optimal fitting process, it is necessary using adhesive and designed internal structure of flange and FRP tube. In this study, for improved tightness characteristics of composite bushing has prominence and interface tolerance of flange and FRP tube. From FE-SEM analysis the adhesive layers were different with interface tolerance, sample 1 and 2 which have respective about
$120{\mu}m$ and$50{\mu}m$ . -
The study is aimed at studying a weakly relativistic oversized BWO with a Bragg reflector entrance of SWS. The Bragg reflector reflects microwaves, while it is open for beam propagations. By changing the boundary condition at the beam entrance, the effect of the Bragg reflector on the BWO performance is examined.
-
Owing to the increasing number of intelligent homes(or called Smart home), the corresponding cost is much higher. Low voltage circuit breakers are widely used in the intelligent homes to interrupt fault current rapidly and to assure the reliability of the power supply. The distribution of magnetic field induced by arc current in the contact system of molded case circuit breaker(hereafter MCCB) depends on the shape, arrangement, and kinds of material of arc runner. This paper is focused on understanding the interrupting capability, more specifically of the arc runner, based on the shape of the contact system in the current MCCB. The magnetic driving force was calculated by using the flux densities induced by the arc current, which are obtained by three-dimensional finite element method. There is a need to assure that the optimum design required to analyze the electromagnetic forces of the contact system generated by current and the flux density be present. This is paper present our computational analysis on contact system in MCCB.
-
Baek, Seung-Myeong;Choi, Jae-Hyeong;Choi, Jin-Wook;Kim, Sang-Hyun;Kim, Yeong-Seok;Kim, Seon-Gu 395
For investigation of electrical properties of XLPE cable termination, we prepared a thermal contraction type kit and 6.6kV XLPE cable. The cable termination that have simulated defect by badness construction have been manufactured and their insulation characteristics such as ac and impulse withstand test have been measured. The influence of defects such as thickness decrease and heating time have been studied. When thickness decreased 1mm, the decreased of AC breakdown voltage value is not very distinctive. However, when thickness decreased 2mm, the insulation AC breakdown voltage value was very low down to 43kV. When approved heat for 300s than 60s, AC Breakdown voltage value appeared high. And also, after insulation breakdown took place, the sample was observed before and after disassembly, and the insulation breakdown hole was observed by means of optical microscope. -
In oder to investigate of partial discharge of nano-composites materials, we have studied partial discharge appling voltage from 5 to 30 [kV] to make an artificial defect with the epoxy adding to 0, 0.4, 0.8, and 1.6 [wt%], respectively. The experimental result, we have found that
$SiO_2$ of 0.4 (wt%] was superior to others also, it is found that the effect of isolate diagnosis to get the slope for the discharged electric charge distribution. -
Yang, Sang-Hyun;Lee, Se-Il;Lee, Yong-Sung;Park, Noh-Joon;Kim, Hee-Dong;Park, Hyung-Jun;Park, Dae-Hee 398
본 논문에서는 XLPE 전력케이블 접속부의 부분방전을 측정하기 위한 Ferrite 형상에 따른 EM Probe를 설계하였다. 부분방전 펄스의 검출대역를 넓이기 위해 투자율이 2400인 페라이트코어를 사용하여 센서를 제작하였고 형상에 따른 특성을 비교하기위하여 실린더형 Ferrite와 말굽형 Ferrite를 적용하였다. 또한 부분방전 검출능력을 확인하기 위해 상용 HFCT센서의 비교 실험을 통하여 위상특성과 주파수 특성을 분석하였다. -
In a wide variety of high-voltage applications surface flashover plays major role in the system's performance and yet has not been studied in great detail for atmospheric conditions with modem diagnostic tools. surface flashover for both direct current and pulsed voltages in considered. within the setup, parameters such as geometry, material characteristics of the applied voltage can be altered. This paper review surface flashover of insulator, primarily in atmosphere. It discusses theories and models relating to surface flashover and experimental results. surface flashover of insulators in atmosphere generally is initiated by the emission of electrons from the cathode triple junction point (the region where the electrode, insulator, air). the electrode material was copper, and a AC voltage was applied between the electrodes. these results were compared with the surface flashover characteristic of epoxy.
-
Recently, demands for the development of compact, lightweight power supplies with higher power density and higher efficiency have been increased. Since Piezoelectric Transformer (PT) was emerged in device and material industry, it has been suggested as a viable alternative to the magnetic transformer in some applications. PT has some advantages such as low profile and mechanical energy transfer with little electromagnetic interface (EMI). Also, PT can provide high voltage stepping ratio with good isolation and requires no copper windings saving copper usage especially for large voltage conversion differences. Conventional control of PT converter has mainly two-way. One is the pulse frequency modulation (PFM) control method and the other is the pulse width modulation (PWM) control with frequency fixed method. It is known that the maximum PT efficiency can be obtained when it operates near the resonant frequency of the PT. And, also PT's resonant frequency moves according to the load condition. Therefore, selection of PT converter control method is very difficult. This paper analyzes general piezo-electric converter modeling and proposes a guide-line to selection of control method and stabilization control.
-
Accelerated aging tests were conducted under laboratory conditions on two generator stator bars. Electrical stress is applied in No. 1 model stator bar. Electrical and thermal stresses are applied in No. 2 model stator bar. As aging times increased from 0 to 11460h, dissipation factor(
$tan{\delta}$ ) test was performed on No. 1 and No.2 model stator bars. The${\Delta}tan{\delta}$ of No. 1 and No. 2 stator bars increased with increased in aging time. -
Insulation diagnostic tests for high voltage motor stator winding were conducted to analyze the insulation characteristics. Test motors were manufactured same factory and year(1996). Insulation characteristics of moisture winding are different from those of deteriorative winding. Insulation resistance and disscipation factor test results are sensitive to moisture winding. AC current, disscipation factor tip-up and PD test results are sensitive to deteriorative winding. Also, Capacitance value for stator winding insulation material has characteristic of increasing in moisture winding.
-
In this paper, presents the Wilkinson power divider used integrated passive device (IPD) technology with excellent performance for personal communication services (PCS). The insertion loss of this power divider is 0.4 dB and the port isolation greater than 25 dB over the entire band. Return losses input and output ports are 18 dB and 19 dB, respectively. The power divider based on SI-GaAs substrate is designed within die size of about
$0.775\times0.53\;mm^2$ . -
Kim, Min-Soo;You, Hee-Wook;Park, Goon-Ho;Oh, Se-Man;Jung, Jong-Wan;Lee, Young-Hie;Chung, Hong-Bay;Cho, Won-Ju 408
The memory characteristics of charge trap memory capacitor with high-k materials were investigated. I-V characteristics of the fabricated device with band gap engineered tunneling gate stacks consisted of$SiO_2$ ,$ZrO_2$ ,$Al_2O_3$ dielectrics were evaluated and compared with the one consisted of$SiO_2$ tunneling dielectric. The memory capacitor including engineered tunneling dielectrics of ($Al_2O_3/ZrO_2/SiO_2$ ) shows the fastest PIE speed and long data retention time. -
현재 탄소나노튜브 (carbon nanotube, CNT)를 여러 분야에 응용하기 위해 다양한 연구가 진행되고 있다. 본 논문에서는 단일벽 CNT (single-walled CNT)를 여러 가지 계면활성제로 최적 분산시킨 수용액으로부터 제조한 투명 전도성 필름을 터치스크린이나 디스 플레이 소자에 응용할 목적으로 필름의 전기저항 및 광 투과도를 향상시키기 위한 연구를 수행하였다. 우선 계면활성제로 분산시킨 정량의 CNT 수용액을 알루미나 재질의 필터에서 진공 필터링하여 CNT 필름을 제조하였다. 알루미나 필터를 sodium hydroxide (NaOH) 수용액으로 용해시켜 제거하여 얻은 CNT 필름을 유리기판 위에 부착시켰다. 필름의 전기저항을 낮추기 위해 유리기판 위에 부착된 CNT 필름을 질산(
$HNO_3$ ) 용액으로 처리하였다. Scanning electron microscopy, UV-Vis spectroscopy를 이용하여 각각 필름의 형상과 광투과도를 분석하였고, 4-point probe로 면 저항을 측정하였다. 계면활성제로 분산시킨 대부분의 CNT 필름의 면 저항은 질산 처리에 의해 감소하였다. 이는 CNT 표면에 코팅되어 있던 계면활성제가 질산에 의해 제거되었기 때문인 것으로 예상된다. 그리고 anionic 계면활성제를 이용한 필름이 대체로 낮은 면 저항을 보였고, 그중 분산력이 가장 좋은 sodium dodecyl benzenesulfonate(SDBS)가 최저의 면 저항을 나타내었다. 질산처리에서 Polyvinyl pyrrolidone(PVP)과 cetyltrimethylammonium bromide(CTAB)를 사용하여 제조한 CNT 필름의 면 저항이 가장 뚜렷한 감소를 보였다. -
Low level laser therapy has various therapy effects. This paper performed the basic study for developing the Low Level Laser Therapy Equipment for medical treatment. The apparatus has been fabricated using the laser diode and microprocessor unit. This equipment was fabricated using a micro-controller and a laser diode, and designed to enable us to control light irradiation time, frequency and so on. In this study, the designed device was used to find out how infrared laser diode affected the collagen formation. For in-vivo test, a round wound 1cm in diameter was cut from the test animal whose epidermal and dermal layers were removed. Test animals were relieved for 24 hours after wounds had been excised and then the infrared laser irradiation group was given irradiation therapy over 9 days one 20 min per day. As a result, More collagenosis occurred in the order of infrared laser irradiation and non-irradiation group. Collagenosis is closely related to wound repair and it was found that infrared laser irradiation groups had more collagenosis and was quicker to recover from wound than non-irradiation group.
-
Carbon-based nano materials have a significant effect on various fields such as physics, chemistry and material science. Therefore carbon nano materials have been investigated by many scientists and engineers. Especially, since graphene, 2-dimemsonal carbon nanostructure, was experimentally discovered graphene has been tremendously attracted by both theoretical and experimental groups due to their extraordinary electrical, chemical and mechanical properties. Electrical conductivity of graphene is about ten times to that of silicon-based material and independent of temperature. At the same time silicon-based semiconductors encountered to limitation in size reduction, graphene is a strong candidate substituting for silicon-based semiconductor. But there are many limitations on fabricating large-scale graphene sheets (GS) without any defect and controlling chirality of edges. Many scientists applied micromechanical cleavage method from graphite and a SiC decomposition method to the fabrication of GS. However these methods are on the basic stage and have many drawbacks. Thereupon, our group fabricated GS through Thermo-electrical Pulse Induced Evaporation (TPIE) motivated by arc-discharge and field ion microscopy. This method is based on interaction of electrical pulse evaporation and thermal evaporation and is useful to produce not only graphene but also various carbon-based nanostructures with feeble pulse and at low temperature. On fabricating GS procedure, we could recognize distinguishable conditions (electrical pulse, temperature, etc.) to form a variety of carbon nanostructures. In this presentation, we will show the structural properties of OS by synthesized TPIE. Transmission Electron Microscopy (TEM) and Optical Microscopy (OM) observations were performed to view structural characteristics such as crystallinity. Moreover, we confirmed number of layers of GS by Atomic Force Microscopy (AFM) and Raman spectroscopy. Also, we used a probe station, in order to measure the electrical properties such as sheet resistance, resistivity, mobility of OS. We believe our method (TPIE) is a powerful bottom-up approach to synthesize and modify carbon-based nanostructures.
-
Silicon nanowires (Si NWs)-based top-gate field-effect transistors (FETs) are constructed by using Si NWs transferred onto flexible plastic substrates. Si NWs are obtained from the silicon wafers using photolithography and anisotropic etching process, and transferred onto flexible plastic substrates. To evaluate the electrical performance of the silicon nanowires, we examined the output and transfer characteristics of a top-gate field-effect transistor with a channel composed of a silicon nanowire selected from the nanowires on the plastic substrate. From these FETs, a field-effect mobility and transconductance are evaluated to be
$47\;cm^2/Vs$ and 272 nS, respectively. -
나노스피어 리소그래피는 기존의 리소그래피 방법에 비해 나노 크기 패턴을 제작하는데 공정이 간단하며 재현성있게 대면적에 패터닝이 가능하다는 장점이 있다. 본 연구에서는 Vertical LED(V-LED)의 External quantum efficiency 향상을 위하여 나노스피어 리소그래 피를 이용하여 V-LED의 n-GaN 표면을 패터닝을 하였다. n-GaN 위에 Sputter를 이용하여
$SiO_2$ 를 증착 후 나노스피어를 스핀 코팅을 이용하여 단일막을 형성하였다. 그 후, 반응성 이온 식각 장치를 이용하여 나노스피어의 크기를 조절하고$SiO_2$ 층을 식각하였다. 다음과 같은 공정 후$SiO_2$ 층을 Mask층으로 하여 n-GaN 표면을 식각하였다. 실험 결과 나노스피어 리소그래피를 이용하여 V-LED의 External quantum efficiency 향상을 위한 n-GaN 표면의 패턴 제작이 가능함을 확인할 수 있었다. -
Park, Goon-Ho;You, Hee-Wook;Oh, Se-Man;Kim, Min-Soo;Jung, Jong-Wan;Lee, Young-Hie;Chung, Hong-Bay;Cho, Won-Ju 415
The tunnel barrier engineered charge trap flash (TBE-CTF) non-volatile memory using CRESTED tunneling barrier was fabricated by stacking thin$Si_3N_4$ and$SiO_2$ dielectric layers. Moreover, high-k based$HfO_2$ charge trap layer and$Al_2O_3$ blocking layer were used for further improvement of the NVM (non-volatile memory) performances. The programming/erasing speed, endurance and data retention of TBE-CTF memory was evaluated. -
Although a transparent conductive film (TCF) belongs to essential supporting materials for many device applications such as touch screens, flat panel displays, and sensors, a conventional transparent conductive material, indium-tin oxide (ITO), suffers from considerable drawback because the price of indium has soared since 2001. Despite a recent falloff, a demand of ITO is expected to increase sharply in the future due to the trend of flat panel display technologies toward flexible, paper-like features. There have been recently extensive studies to replace ITO with new materials, in particular, carbon nanotubes (CNTs) since CNTs possess excellent properties such as flexibility, electrical conductivity, optical transparency, mechanical strength, etc., which are prerequisite to TCFs. This study fabricated TCFs with single-walled carbon nanotubes (SWCNTs) produced by arc discharge. The SWCNTs were dispersed in water with a surfactant of sodium dodecyl benzene sulfonate (NaDDBS) under sonication. Carbon black and fullerene nanoparticles were added to the SWCNT-dispersed solution to enhance contact resistance between CNTs. TCFs were manufactured by a filtration and transfer method. TCFs added with carbon black and fullerene nanoparticles were characterized by scanning electron microscopy (SEM), UV-vis spectroscopy (optical transmittance), and four-point probe measurement (sheet resistance).
-
Vertically aligned arrays of multi-walled carbon nanotube (MWCNT) on layered Si substrates have been synthesized by water-assisted thermal chemical vapor deposition (CVD). We studied changes in growth by parameters of growth temperature, growth time, rates of gas and annealing time of catalyst. Also, We grew CNTs by adding a little amount of water vapor to enhance the growth of CNTs.
$H_2$ , Ar, and$C_2H_2$ were used as carrier gas and feedstock, respectively. Before growth, Fe served as catalyst, underneath which AI were coated as an underlayer and a diffusion barrier, respectively, on the Si substrate. The water vapor had a greater effect on the growth of CNTs on a smaller thickness of catalyst. When the water vapor was introduced, the growth of CNTs was enhanced than without water. CNTs grew 1.29 mm for 10 min long by adding the water vapor, while CNTs were 0.73 mm long without water vapor for the same period of time. CNTs grew up to 1.97 mm for 30 min prior to growth termination under adding water vapor. As-grown CNTs were characterized by using scanning electron microscopy (SEM), high resolution transmission electron microscopy (HRTEM), and Raman spectroscopy. -
The authors fabricated the nanostructural patterns on the surface of SiN antireflection layer of polycrystalline Si solar cell and the surface of crystalline Si wafer using anodic aluminum oxide (AAO) masks in an inductively coupled plasma(ICP) etching process. The AAO nanopattern mask has the hole size of about 70~80nm and an ave rage lattice constant of 100nm. The transferred nano-patterns were observed by the scanning electron microscope (SEM) and the enhancement of solar cell efficiency will be presented.
-
Since carbon nanotubes (CNTs) are discovered, tremendous attentions have been paid to these materials due to their unique mechanical, electrical and chemical properties. Thereupon, many methods to produce a large scale of CNTs have been contrived by many scientists and engineers. Thus the examination of growth mechanisms of CNTs, which is essential to produce CNTs in large scale, has been an attractive issue. Though many scientists have been strived to investigate and understand the growth mechanisms of CNTs, many of them still remain controversial or unclear. Here we introduce representative growth mechanisms of CNTs, based on broadly employed fabrication methods of CNTs. We applied Thermo-electrical Pulse Induced Evaporation (TPIE) method based on field and thermal evaporation to synthesis of CNTs. However TPIE method was originally devised to fabricate graphene sheets and
$Ge_2Sb_2Te_5$ nanostructures. While performing TPIE experiments to synthesize graphene, we eventually found experimental results widely supporting the growth model of CNTs proposed already. We observed the procedure of growth of CNTs obtained by TPIE method through Transmission Electron Microscopy (TEM). We believe this study provides an experimental basis on understanding and investigating carbon-based nanomaterials. -
Photocurable inorganic-organic hybrid materials were prepared from colloidal-silica nanoparticles synthesized through the solgel process and using acryl resin. The synthesized colloidal-silica nanoparticles had uniform diameters of around 20 nm, and they were organically modified, using methyl and methacryl functional silanes, for efficient hybridization with acryl resin. The organically modified and stabilized colloidal-silica nanoparticles could be homogeneously hybridized with aeryl resin without phase separation. The successfully fabricated hybrid materials exhibit efficient photocurability and simple film formation due to the photopolymerization of the organically modified colloidal-silica nanoparticles and acryl resin upon UV exposure. The fabricated hybrid films exhibit an excellent optical transmission of above 90% in the visible region as well as an enhanced surface smoothness of around 1 nm RMS roughness. In addition, the hybrid films exhibit improved thermal and mechanical characteristics, much better than those of acryl resin. More importantly, these photocurable hybrid materials fabricated through the synergistic combination of colloidal-silica nanoparticles with acryl resin are candidates for optical and electrical applications.
-
Currently, lasers are one of the most popular light sources in use for medical treatment. Many studies on low power lasers are being done in cell culture or through animal tests and most report different findings, making it difficult to verify their true effects. There are shifts in trends of studies from laser and LED that are expensive and generate heat problem to LED that are economically effective and safe. Its near infrared rays can penetrate deep into skin or muscle, up to 23 cm, without causing thermal damage or impairing neighboring tissues. This study verified the performance and effectiveness of an LED irradiator that was designed to emit similar wavelengths to that of a laser and thus could be used instead of a low level laser therapy in experiments on animals. And then, each experiment was performed to irradiation group and non-irradiation group for NTacSam:SD tissue cells. MIT assay method was chosen to verify the cell increase of two groups and the effect of irradiation on cell proliferation was examined by measuring 590nm transmittance of ELISA reader. As a result, the cell increase of NTacSam:SD tissue cells was verified in irradiation group as compared to non-irradiation group. The fact that specific wavelength irradiation has an effect on cell vitality and proliferation is known through this study.
-
그라핀은 밴드갭이 없어서 세미메탈의 성질을 띠므로 초고속 RF 소자에는 응용이 가능하지만, 현재 사용되는 반도체 칩에 사용하기가 불가능하다. 그러나 그라핀을 매우 좁은 리본 형태로 만들 경우 밴드갭이 생기고 이에 따라 반도체특성을 뛰게 된다. 이러한 특성은 시뮬레이션을 통해서만 이해되다가 2007년 P. Kim이 그라핀 나노리본의 밴드캡이 리본의 폭이 좁아짐에 따라 증가함을 실험적으로 최초로 발표하였다. 하지만 그라핀을 나노리본형태로 식각 방법에 대해서는 정확히 연구되지 않았다. 따라서 본 연구에서는
$O_2$ plasma ashing 방법을 이용하여 그라핀을 식각하는 방법에 대해 연구하였다. 먼저 Si기판을 initial cleaning 한 후, highly-oriented pyrolytic graphite(HOPG)를 이용하여 기존의 mechanical exfoliation 방식을 통해 그라핀을 형성하였다. Photo-lithography 방법을 통하여 패터닝한 후, 그라핀을 식각하기 위하여 Reactive Ion Etcher (RIE) system을 이용한$O_2$ plasma ashing을 50 W에서 1 분간 실시하였다. 다시 image reverse photo-lithography 과정과 E-beam evaporator system를 통해서 Al 전극을 형성하여 graphene-FET를 제작하였고, 광학 현미경과 AFM (Atomic force microscope)을 통해 두께를 확인하였다. 본 연구를 통하여$O_2$ plasma ashing을 이용하여 쉽게 그라 E을 식각할 수 있음을 확인 하였으며, 제작된 소자의 전기적 특성에 대해서 현재 실험중에 있다. -
Choi, In-Sung;Kim, Eun-Ho;Park, Jae-Min;Lee, Han-Sung;Lee, Wan-Kyu;Oh, Se-Man;Cho, Won-Ju;Jung, Jong-Wan;Lee, Nae-Sung 425
그라핀을 금속 촉매를 이용하여 상압 혹은 저진공 CVD로 성장할 경우 대형 기판을 쉽게 얻을 수 있으므로 최근 들어 금속 촉매를 이용한 CVD 기술이 재 각광받고 있다. 최근 MIT의 Jing Kong 그룹, Purdue 대학의 Yong P. Chen 그룹, 국내에서는 성균관대학에서 이에 대한 논문을 발표한 바 있다. CVD 방법의 가장 큰 장점은 그라핀 박막의 가장 큰 문제점 중 하나인 대형 기판에 매우 유리하다는 점이다. 본 연구에서는 결함 없는 대형 그라핀기판을 얻기위해 Si/$SiO_2$ /Ni 박막위에 그라핀을 LPCVD로 성장하는 실험을 진행하였다. 우선 시료는 Si위에$SiO_2$ 를 Sputtering으로 증착하였고, 그 위에 250nm, 300nm두께의 Ni 박막을 e-beam evaporator로 증착하였다.$0.5-1cm^2$ 크기의 샘플을 Thermal CVD 장비를 이용하여 그라핀을 성장하는 실험을 진행하였다. 성장 압력은 95 torr, 성장온도는$800^{\circ}C$ ,$850^{\circ}C$ ,$900^{\circ}C$ 에서 Hydrocarbon ($C_2H_2$ )을 5min, 10min으로 성장시간을 split하였다. Hydrocarbon을 흘리기 전에 Ni grain을 성장하기 위해 성장온도에서 30~60min정도$H_2$ 분위기에서 Ni 산화막의 환원 및 어닐링을 진행하였다. 그림.1은$850^{\circ}C$ , 5분간 성장한 그라핀/Ni 샘플의 광학사진이다. 그림.2는$850^{\circ}C$ 에서 5min, 10min 성장한 샘플의 Raman spectrum이다. (파장은 514.532nm). 850C 10min 샘플은 G>G' peak 이지만, 5min으로 성장한 샘플의 경우 G'>G peak 임을 알 수 있고, 따라서 5min의 조건에서는 층 두께가 4층 미만의 그라핀 박막을 얻을 수 있음을 보여준다. 또한 G' peak의 위치가 두께가 감소할수록 내려감을 확인할 수 있다. 다만 D peak가 실험한 대부분의 샘플에서 보여서 아직 성장한 그라핀의 결합이 많은 것으로 보인다. 이러한 이유는 성장온도가 낮은 것이 일차 원인으로 생각되며 박막의 균일도 향상과 결함을 줄이기 위한 추가적인 개선 실험을 진행 중이다. -
Diagnostic X-ray system is general and basic medical equipment to be used in mostly medical organizations, but being bombed of radioactivity is a big weak point when irradiates a X-ray to the human body so that ICRP restricted the radiation exposure tolerance of the human body. In order to reduce being bombed, the many research and development is now advanced. A lots of diagnostic X-ray machines have currently used due to the increase of occurrence efficiency of X-ray and precisely the output control by using the inverter which is a high speed switching semiconductors. For getting the confidence of the X-ray machine, the same radiation occurrence, same evaluation, and same irradiation condition are necessary when evaluates X-ray irradiation. It is the most important part for the accuracy of the test result and the patient safety. This paper has produced the high voltage occurrence system of full-wave rectification method by using the LC resonance inverter, and evaluated the irradiation reproducibility in order to use it in diagnosis of the patient.
-
The authors investigated anomalous nanoporous structures of aluminum oxides during the Al anodization process. We implemented two-steps anodizing process for the electrolyte of oxalic acid. As increasing DC voltages, lattice constants are proportionally increased. For the curved surface, the surface electric field was distorted so that the nanoporous pipe channel changed to a cone-type shape. We confirmed the periodicity by using the FFT(Fast Fourier Transform) analysis.
-
Nanoporous anodic alumina membranes (NAAM) with high-density through-hole pores fabricated by hard anodization of aluminum in 0.3 M oxalic acid under the applied voltage of 40 (mild anodization), 80, 100, 120 and 140 V were investigated. The current-time responses monitored using a PC-controlled anodization cell and the corresponding pore structures attainable from field-enhanced scanning electron microscopy (FE-SEM) were analyzed in order to establish the optimum fabrication process. The nanoporous structure can be produced for all the voltage conditions, while the stabilized through-hole pore formation seems to occur at 40, 80 and 140 V. The growth rate under 140 V hard anodization was over 30 times higher than under 40 V mild anodization (1.5 um/hr).
-
In this study, europium doped strontium silicate (
$Sr_2SiO_4:Eu^{2+}$ ) phosphor has been synthesized by conventional solid-state method and investigated luminescent characteristic.$SrCO_3$ and$SiO_2$ were mixed together by 2:1 mole ratio. Also$NH_4Cl$ was added as a flux. The mixture were sintered at$800^{\circ}C$ ,$1000^{\circ}C$ for 3h under the atmosphere (5%$H_2$ /95%$N_2$ ). This phosphor can be applicated to the yellow phosphor for white LED because it has yellow emission band (540nm), which emits efficiently under the 370nm excitaion energy. -
본 연구에서는 HW-PLD(Hot-walled Pulsed Laser Deposition) 법을 이용하여 ZnO 나노와이어를
$Al_2O_3$ 기판 위에 성장하였다. 성장된 ZnO 나노와이어는 SEM, XRD, PL 분석을 통하여 구조적 특성을 확인하였으며, 성장된 나노와이어를 photolithography 공정을 통하여 FET(Field Effect Transistor)소자를 제작하였다. 제작된 소자의 I-V 특성 측정 결과 Ti/Au 전극과 ZnO nanowire 채널 간에 ohmic 접합이 형성된 것을 확인하였으며 게이트 전압의 증가에 따라 소스와 드레인 사이의 전류가 증가하는 전형적인 n-type FET소자 특성을 나타내었다. -
Bae, Yeong-Suk;Kim, Yeong-Lee;Kim, Dong-Chan;Gong, Bo-Hyeon;An, Cheol-Hyeon;Choe, Mi-Gyeong;U, Chang-Ho;Han, Won-Seok;Jo, Hyeong-Gyun 433
ZnO-based materials have been extensively studied for optoelectronic applications due to their superiors physical properties such as wide direct bandgap (~3.37 eV), large exciton binding energy (~60 meV), high transparency in the visible region, and low cost. Especially, one-dimensional (1D) ZnO nanostructures have attracted considerable attention owing to quantum confinement effect and high crystalline quality. Additionally, various nanostructures of ZnO such as nanorods, nanowires, nanoflower, and nanotubes have stimulated the interests because of their semiconducting. and piezoelectric properties. Among them, vertically aligned ZnO nanorods can bring the improved performance in various promising photoelectric fields including piezo-nanogenerators, UV lasers, dye sensitized solar cells, and photo-catalysis. In this work, we studied the effect of the annealing temperature of homo seed layers on the formation of ZnO nanorods grown by hydrothermal method. The effect of annealing temperature of seed layer on the length and orientation of the nanorods was investigated scanning electron microscopy investigation. Transmission electron microscopy and X-ray diffraction measurement were performed to understand the effect of annealing temperatures of seed layers on the formation of nanorods. Moreover, the optical properties of the seed layers and the nanorods were studied by room temperature photoluminescence. -
The crystalline silicon solar cell where the solar cell market grows rapidly is occupying of about 85% or more. high-efficiency and low cost endeavors many crystalline silicon solar cells. the fabrication processes of high-efficiency crystalline silicon solar cells necessitate complicated fabrication processes and Ti/Pd/Ag contact, however, this contact formation processed by expensive materials. Ni/Cu contact formation is good alternative. in this paper, according to temperature Ni silicide makes, produced Ni/Cu contact solar cell and measured conversion efficiency.
-
현재 상용화되어 있는 결정질 태양전지의 경우 높은 실리콘 가격으로 인해 저가화에 어려움을 격고 있다. 따라서 태양전지 저가화의 한 방법으로 박막태양전지가 주목을 받고 있다. P-I-N 구조의 박막태양전지에서 각 층의 thickness, activation energy, energy bandgap은 고효율 달성을 위한 중요한 요소이다. 본 논문에서는 박막태양전지 P-I-N layer의 가변을 통하여 고효율을 달성하기 위한 simulation을 수행하였다. 가변 조건으로는 p-layer의 thickness, activation energy 그리고 energy bandgap을 단계별로 변화시켰고 i-layer는 thickness를 n-layer는 thickness와 activation energy를 가변하여 최적의 조건을 찾아 분석하였다. 최종 simulation 결과 p-layer의 thickness 5nm, activation energy 0.3eV 그리고 energy bandgap 1.8eV에서, i-layer thickness 400nm, n-layer thickness 30nm, activation energy 0.2eV에서 최고 효율 11.08%를 달성하였다.
-
현재 상용화되어 있는 결정질 태양전지의 경우 높은 실리콘 가격으로 인해 저가화에 어려움을 격고 있다. 따라서 태양전지 저가화의 한 방법으로 박막태양전지가 주목을 받고 있다. P-I-N 구조의 박막태양전지에서 I-layer 각 층의 thickness, activation energy, energy bandgap은 고효율 달성을 위한 중요한 요소이다. 본 논문에서는 박막태양전지 P-I-N layer의 가변을 통하여 고효율을 달성하기 위한 simulation을 수행하였다. 가변 조건으로는 p-layer의 thickness, activation energy 그리고 energy bandgap을 단계별로 변화시켰고 i-layer는 thickness를 n-layer는 thickness와 activation energy를 가변하여 최적의 조건을 찾아 분석하였다. 최종 simulation 결과 p-layer의 thickness 5nm, activation energy 0.3eV 그리고 energy bandgap 1.8eV에서, i-layer thickness 400nm, n-layer thickness 30nm, activation energy 0.2eV에서 최고 효율 11.08%를 달성하였다.
-
We have investigated the effect of forming gas annealing for Upgraded Metallurgical Grade (UMG)-silicon solar cell in order to obtain low-cost high-efficiency cell using post deposition anneal at a relatively low temperature. We have observed that high concentration hydrogenation effectively passivated the defects and improved the minority carrier lifetime, series resistance and conversion efficiency. It can be attributed to significantly improved hydrogen-passivation in high concentration hydrogen process. This improvement can be explained by the enhanced passivation of silicon solar cell with antireflection layer due to hydrogen re-incorporation. The results of this experiment represent a promising guideline for improving the high-efficiency solar cells by introducing an easy and low cost process of post hydrogenation in optimized condition.
-
Nowadays, source of MEMS, USN, Hybrid parts pay attention to energy harvesting. On this paper, energy harvesting was studied using piezoelectric effect. And, piezoelectric generator was designed and fabricated. Generators were designed by FEM simulation program and generators were made by attaching cymbal type metal plates on upper and bottom sides of a disc type piezoelectric ceramic. Output AC power was rectified to DC power by full bridge circuit and converted to regular voltage power by DC-DC converter. The final output power was charged to Ni-Cd battery. Using fabricated generators, output voltages dependant on thickness of ceramic, displacement of vibration, frequency of vibration were measured.
-
Semiconductor nanowires offer exciting possibilities as components of solar cells and have already found applications as active elements in organic, dye-sensitized, quantum-dot sensitized, liquid-junction, and inorganic solid-state devices. Among many semiconductors, silicon is by far the dominant material used for worldwide photovoltaic energy conversion and solar cell manufacture. For silicon wire to be used for solar device, well aligned wire arrays need to be fabricated vertically or horizontally. Macroscopic silicon wire arrays suitable for photovoltaic applications have been commonly grown by the vapor-liquid-solid (VLS) process using metal catalysts such as Au, Ni, Pt, Cu. In the case, the impurity issues inside wire originated from metal catalyst are inevitable, leading to lowering the efficiency of solar cell. To escape from the problem, the wires of purity of wafer are the best for high efficiency of photovoltaic device. The fabrication of wire arrays by the electrochemical etching of silicon wafer with photolithography can solve the contamination of metal catalyst. In this presentation, we introduce silicon wire arrays by electrochemical etching method and then fabrication methods of radial p-n junction wire array solar cell and the various merits compared with conventional silicon solar cells.
-
Electrochemical Properties of HNO3 Pre-treated
$TiO_2$ Photoelectrode for Dye-SEnsitized Solar CellsDye-sensitized solar cells (DSSCs) have been widely investigated as a next-generation solar cell because of their simple fabrication process and low coats. The cells use a porous nanocrystalline TiO2 matrix coated with a sensitizer dye that acts as the light-harvesting element. The photo-exited dye injects electrons into the$TiO_2$ particles, and the oxide dye reacts with I- in the electrolyte in regenerative cycle that is completed by the reduction of$I_3^-$ at a platinum-coated counter electrode. Since$TiO_2$ porous film plays a key role in the enhancement of photoelectric conversion efficiency of DSSC, many scientists focus their researches on it. Especially, a high light-to-electricity conversion efficiency results from particle size and crystallographic phase, film porosity, surface structure, charge and surface area to volume ratio of porous$TiO_2$ electrodes, on which the dye can be sufficiently adsorbed. Effective treatment of the photoanode is important to improve DSSC performance. In this paper, to obtain properties of surface and dispersion as nitric acid treated$TiO_2$ photoelectrode was investigate. The photovoltaic characteristics of DSSCs based the electrode fabricated by nitric acid pre-treatment$TiO_2$ materials gave better performances on both of short circuit current density and open circuit voltage. We compare dispersion of$TiO_2$ nanoparticles before and after nitric acid treatment and measured Ti oxidized state from XPS. Low charge transfer resistance was obtained in nitric acid treated sample than that of untreated sample. The dye-sensitized solar cell based on the nitric acid treatment had open-circuit voltage of 0.71 V, a short-circuit current of 15.2 mAcm-2 and an energy conversion efficiency of 6.6 % under light intensity of$100\;mWcm^{-2}$ . About 14 % increases in efficiency obtained when the$TiO_2$ electrode was treated by nitric acid. -
We prepared and characterized particle based CIGS thin film using a thermal evaporator. CIGS powder were obtained at
$240^{\circ}C$ for 6 hours from the reaction of$CuCl_2$ ,$InCl_3$ ,$GaCl_3$ , Se powder in solvent. The CIGS thin film deposited on a sodalime glass. The CIGS thin film were identified to have a typical chalcopyrite tetragonal structure by using UV/Vis-spectroscopy, X-ray diffraction(XRD), Auger Electron Spectroscopy(AES), Scanning Electron Microscopy(SEM). -
The metallic contact system of silicon solar cell must have several properties, such as low contact resistance, easy application and good adhesion. Ni is shown to be a suitable barrier to Cu diffusion as well as desirable contact metal to silicon. Nickel monosilicide(NiSi) has been suggested as a suitable silicide due to its lower resistivity, lower sintering temperature and lower layer stress than
$TiSi_2$ . Copper and Silver can be plated by electro & light-induced plating method. Light-induced plating makes use the photovoltaic effect of solar cell to deposit the metal on the front contact. The cell is immersed into the electrolytic plating bath and irradiated at the front side by light source, which leads to a current density in the front side grid. Electroless plated Ni/ Electro&light-induced plated Cu/ Light-induced plated Ag contact solar cells result in an energy conversion efficiency of 16.446 % on$0.2\sim0.6\;{\Omega}{\cdot}cm$ ,$20\;\times\;20\;mm^2$ , CZ(Czochralski) wafer. -
표면 패시베이션 기술로 이용되는 수소화된 실리콘 질화막은 제조원가의 절감을 위한 실리콘 기판재료의 두께 감소에 따른 특성상의 문제점을 해결하기 위해 중요한 영향을 미치는 요소이다. 실리콘 질화막은 강한 기계적 강도, 우수한 유전적 특성, 수문에 의한 부식과 유동적 이온에 대한 우수한 저항력 때문에, 반도체 소자 산업에서 널리 사용되고 있다. 수소화된 실리콘 질화막은 반사방지 특성과 함께 표면 패시베이션의 질을 향상시킬 수 있다. 굴절률 1.9 ~ 2.3 범위에서 쉽게 변화 가능한 수소화된 실리콘 질화막은 굴절률 1.4 ~ 1.5 사이의 열적 산화막 보다 효과적인 반사방지막이다. 수소화된 실리콘 질화막을 사용한 태양전지에서는 효율을 높이기 위해서 기판 표면에서의 케리어 재결합이 억제되어져야한다. 또한, 수소화된 실리콘 질화막은 최적화된 두께와 굴절률을 가져야한다. 본 연구에서는 고효율 태양전지에 적용하기 위해 반송자 수명이 향상된 수소화된 실리콘 질화막을 플라즈마 화학 기상 증착법을 이용하여 증착하였다. 박막은
$250^{\circ}C\;{\sim}\;450^{\circ}C$ 에서 증착되었으며 증착된 박막은 1.94 to 2.05 굴절률 값을 가지고 있다. 반송자 수명을 증가시키기 위해$650^{\circ}C\;{\sim}\;950^{\circ}C$ 에서 어닐링 하였고 반송자 수명을 측정하여 패시베이션 특성을 분석하였다. 수소화된 실리콘 질화막은$850^{\circ}C$ 의 어닐링 온도와 굴절률 2.0 조건에서 가장 좋은 반송자 수명을 나타냈다. -
In this study, transparent and conductive Al-doped zinc oxide (AZO) films were prepared on Corning glass and silicon wafer substrate by RF magnetron sputtering method using an Al-doped ZnO target (Al: 2 wt.%) at room temperature as the thickness of 150 nm. We investigated the effects of the RF power between 100 Wand 350 W in steps of 50 W on structural, electrical and optical properties of AZO films. Also, we studied the effects of the working pressure (3, 4 and 5 mtorr) on that condition. The thickness and cross-sectional images of films were observed by field emission scanning electron microscopy (FE-SEM) and all of the films were kept to be constant to
$150\pm10$ nm on Coming glass and silicon wafer. A grain size was calculated from X-ray diffraction (XRD) on using the Scherrer' equation and their electrical properties investigated hall effect electronic transport measurement system. Moreover, we measured transmittance of AZO films by UV/VIS spectrometer. -
기존에 박막공정을 이용한 CIGS 태양전지는 단가가 비싸고 공정이 복잡한 단점이 있다. 따라서 후막형 CIGS 태양전지 위한 CIGS 나노 입자의 필요성이 대두 되었다. CIGS 나노 입자를 합성하기 위한 방법은 용매열법, 콜로이달법 등이 있다. 특히 이들 방법 중에서 열용매 방식은 입도 제어가 용이하고 저압, 저온에서 간단한 공정으로 입자를 합성할 수 있다는 잠정으로 인해 많이 사용되어지고 있다. 본 연구에서는 열용매법을 이용하여, 용매양, 반응온도, 반응시간 등을 통하여 후막형 CIGS에 적합한 나노 입자를 합성하였다. XRD를 통해 상을 분석하고, SEM, 입도, B.E.T.를 통해 파우더의 평가하였다.
-
텍스쳐링에 의한 실리콘 태양전지의 표면 반사율 감소는 태양전지의 효율에 있어서 개선해야 할 문제 중 하나이다. 결정질 실리콘 태양전지의 경우 텍스쳐링에 사용되는 용액에 따라 표면 피라미드 분포 형태와 크기의 차이가 나고 그에 따라 각각 다른 반사율을 얻을 수 있다. 이 논문에서는 결정질 실리콘 태양전지에 산 알칼리 용액에 의한 표면 텍스쳐링을 이용하여 균일한 표면 피라미드 형성과 반사율을 감소시킬 수 있는 방안에 대하여 연구하였다.
-
Sin, Bong-Geol;Hyeon, Chang-Yong;Lee, Jun-Seong;Park, Seong-Eun;Kim, Dong-Hwan;Byeon, Jae-Won 450
최근 전세계적으로 태양전지의 대량보급에 따라 실리콘 원료의 공급에 차질이 생겨 원자재 값이 상승하는 추세에 있다. 결정질 실리콘 태양전지의 제조비용중 실리콘 재료 및 웨이퍼가 차지하는 비율은 약 50~60%정도로 높기 때문에 실리콘 웨이퍼의 두께를 감소시키는 것이 비용절감을 위한 효과적인 방법으로 기대되고 있다. 그러나 실리콘 웨이퍼의 두께가 앓아질수록 제조공정중 균열이나 파손이 발생할 가능성이 높아지기 때문에 이에 따른 실리콘 웨이퍼의 기계적 물성에 대한 연구가 필수적이라 할 수 있다. 본 연구에서는 현재 상용으로 사용되고 있는 크기가 5 인치인$200{\mu}m$ 두께의 실리콘웨이퍼 (As-saw)를 약 80여개의 시편으로 절단한 후 각각의 파단강도를 부위별로 측정하였다. 또한 표면절단결함을 제거하는 saw damage etching(SDE) 시간을 제어하여 두께가$150{\mu}m$ ,$130{\mu}m$ 인 웨이퍼를 준비하였다. 이들 시험편에 대해서도 부위별 파단강도를 측정하여 as-saw상태의 시험편과 비교하였다. 파단강도 측정은 4 접 굽힘시험을 통하여 측정하였으며 파단면은 주사전자현미경을 통하여 관찰하였다. 또한 실리콘 웨이퍼의 미세균열을 비파괴적으로 검출하기 위하여 100MHz 고주파수를 이용하는 초음파현미경(SAM, scanning acoustic microscope)을 이용하여 균열의 분포를 영상화하였다. -
CdTe는 에너지밴드갭이 1.45eV인 직접천이헝 II-VI 화합물 반도체로서 높은 광홉수율과 가시광 영역의 에너지밴드캡으로 태양전지, x-선 검출기 등에 널리 사용되고 있다. 본 연구에서는 Metal Organic Chemical Vapor Deposition (MOCVD)으로 Si 기판위에 CdTe 에피 박막을 성장 하고자 하였다. Cd, Te의 금속유기 화합물로는 Dimethylcadmium(DmCd)과 Diisopropyltellurium(DIPTe)을 사용하였다. 기판으로는 Si 을 사용하였으며 박막성장 온도를
$360^{\circ}C\;{\sim}\;500^{\circ}C$ 로 제어하여 에피박막이 형성되는 조건을 얻고자 하였다.$360^{\circ}C$ ,$450^{\circ}C$ 에서 성장된 CdTe박막은 다양한 방향이 존재하는 다결정 구조 였으며$500^{\circ}C$ 의 경우 단결정 에피 박막 성장이 이루어졌음을 확인하였다. 본 연구를 통한 CdTe 에피박막은 기존의 열증착 등으로 제조되는 다결정 CdTe 박막과 비교하여 높은 에너지변환 효율을 얻을 것으로 기대된다. -
HEV용 리튬 이차전지의 양극물질로서
$LiMn_2O_4$ 는 일반적으로 사용되고 있는$LiCoO_2$ 에 비해 값이 저렴하고 독성이 낮으며, 높은 전압과 좋은 율 특성을 갖는 물질이다. 하지만 고온에서 전이금속인 Mn이 전해액으로 용출되어 급격한 용량감소로 인한 짧은 수명의 단점을 가지고 있다. 흔히 전구체로 쓰이는$MnO_2$ ,$Mn_3O_4$ , MnOOH등은 전기 분해법을 이용한 EMD가 주로 이용된다. 본 연구에서는 출발 물질로$KMnO_4$ 와$Mn(NO_3)_2$ 를 수용액 반응을 시켜서 농도, 온도변화에 따른 입자 형상 및 크기와 결정상의 변화를 관찰하고, 화학적 방법으로 얻어진$MnO_2$ 와 LiOH를 합성하여 각각의$LiMn_2O_4$ 를 비교 분석하고자한다. -
Wafer 태양전지와 Back sheet 및 기타 소재를 사용하는 기존의 Photovoltaic Module은 투과성이 존재하지 않으므로 본 논문에서는 태양전지 모듈의 투과특성을 발휘할 수 있는 Glass to Glass (GtG) Type의 Photovoltaic module에 대해 그 투과 특성 및 효율과의 관계를 분석하였다. 먼저 Module용 소재 중 Poly vinyl butyral (PVB) 및 Ethylene vinyl acetate(EVA) sheet의 Transmittance와 Haze 특성을 분석하였다. GtG 타입의 Photovoltaic Module은 약 90%정도의 투과율을 갖는 강화유리 및 Haze가 없는 PVB sheet를 사용하여 1m
$\times$ 1m 크기로 제작하였다. GtG 타입으로 제조한 모둘 중 Cell 16EA를 사용한 모듈은 Cell 25EA를 사용한 모듈에 비해서 36% 투과율이 증가하였으나 효율 면에서 38%감소하였다. 최종적으로 GtG 타입 Module의 효율과 투과율에 관련된 식을 각각 정립하였다. -
Selective emitter structure have an important research subject for crystalline silicon solar cells because it is used in production for high efficiency solar cells. A selective emitter structure with highly doped regions underneath the metal contacts is widely known to be one of the most promising high-efficiency solution in solar cell processing. Since most of the selective emitter processes require expensive extra masking and double steps process. Formation of selective emitters is not cost effective. One method that satisfies these requirements is the method of screen-printing with a phosphorus doping paste. In this paper we researched two groups of selective emitter structure process. One was using dopant paste, and the other was using solid source, in order to compare their uniformity, sheet resistance and performance condition time.
-
Kim, Sung-Chul;Yoon, Ki-Chan;Kyung, Do-Hyun;Lee, Young-Seok;Kwon, Tae-Young;Jung, Woo-Won;Yi, Jun-Sin 456
Boron doping on an n-type Si wafer is requisite process for IBC (Interdigitated Back Contact) solar cells. Fiber laser annealing is one of boron doping methods. For the boron doping, uniformly coated or deposited film is highly required. Plasma enhanced chemical vapor deposition (PECVD) method provides a uniform dopant film or layer which can facilitate doping. Because amorphous silicon layer absorption range for the wavelength of fiber laser does not match well for the direct annealing. In this study, to enhance thermal affection on the existing p-a-Si:H layer, a${\mu}c$ -Si:H intrinsic layer was deposited on the p-a-Si:H layer additionally by PECVD. To improve heat transfer rate to the amorphous silicon layer, and as heating both sides and protecting boron eliminating from the amorphous silicon layer. For p-a-Si:H layer with the ratio of$SiH_4$ :$B_2H_6$ :$H_2$ = 30 : 30 : 120, at$200^{\circ}C$ , 50 W, 0.2 Torr for 30 minutes, and for${\mu}c$ -Si:H intrinsic layer,$SiH_4$ :$H_2$ = 10 : 300, at$200^{\circ}C$ , 30 W, 0.5 Torr for 60 minutes, 2 cm$\times$ 2 cm size wafers were used. In consequence of comparing the results of lifetime measurement and sheet resistance relation, the laser condition set of 20 ~ 27 % of power, 150 ~ 160 kHz, 20 ~ 50 mm/s of marking speed, and$10\;{\sim}\;50 {\mu}m$ spacing with continuous wave mode of scanner lens showed the correlation between lifetime and sheet resistance as$100\;{\Omega}/sq$ and$11.8\;{\mu}s$ vs.$17\;{\Omega}/sq$ and$8.2\;{\mu}s$ . Comparing to the singly deposited p-a-Si:H layer case, the additional${\mu}c$ -Si:H layer for doping resulted in no trade-offs, but showed slight improvement of both lifetime and sheet resistance, however sheet resistance might be confined by the additional intrinsic layer. This might come from the ineffective crystallization of amorphous silicon layer. For the additional layer case, lifetime and sheet resistance were measured as$84.8\;{\Omega}/sq$ and$11.09\;{\mu}s$ vs.$79.8\;{\Omega}/sq$ and$11.93\;{\mu}s$ . The co-existence of$n^+$ layeronthesamesurfaceandeliminating the laser damage should be taken into account for an IBC solar cell structure. Heavily doped uniform boron layer by fiber laser brings not only basic and essential conditions for the beginning step of IBC solar cell fabrication processes, but also the controllable doping concentration and depth that can be established according to the deposition conditions of layers. -
In this work, transparent conducting Al-doped zinc oxide (AZO) films were prepared on Coming glass substrate by RF magnetron sputtering using an Al-doped ZnO target (Al: 2 wt.%) at room temperature and all films were deposited with athickness of 150 nm. We investigated the effects of the post-annealing temperature and the annealing ambient on structural, electrical and optical properties of AZO films. The films were annealed at temperatures ranging from 300 to
$500^{\circ}C$ in steps of$100^{\circ}C$ using rapid thermal annealing equipment in oxygen. The thickness of the film was observed by field emission scanning electron microscopy (FE-SEM) and grain size was calculated from the XRD spectra using the Scherrer equation and their electrical properties were investigated using a hole measurement and the reflectance of AZO films was investigated by UV-VIS spectrometry. -
Jung, Tae-Hee;Shin, Jun-Oh;Kim, Tae-Bum;Won, Chang-Sub;Ji, Yang-Geun;Kong, Ji-Hyun;Kang, Gi-Hwan;Han, Hyung-Keun;Han, Deuk-Young 459
태양전지 모듈은 다양한 환경에 노출 되지만 그중에서도 고온의 상태는 태양전지 모듈의 출력 특성에 심각한 영향을 미친다. 본 논문에서는 그와 같은 환경변화 실험의 일환으로 고온의 태양전지 모듈을 외부환경에 노출되었을 때 dark 전류의 변화를 관찰함으로써 최적의 설치 환경에 관한 자료로써 이용 될 수 있을 뿐만 아니라 설치이후 외부 환경 온도 변화에 의한 출력 감소를 예측할 수 있을 것이다. -
We studied the effects of post-annealing treatment on poly(3-hexylthiophene)(P3HT, donor):[6,6]-phenyl
$C_{61}$ butyric acid methyl ester(PCBM, acceptor) blend film as an active layer in the organic solar cells(OSCs). For the formation of the active layer, 3 wt.% P3HT:PCBM solution in chlorobenzene were deposited by spin-coating method. In order to optimize the performance of OSCs, the P3HT crystallization and the redistribution of PCBM cluster at P3HT:PCBM composition as a function of post-annealing condition from room temperature to$200^{\circ}C$ were measured by the Hall effect and the UV-vis Spectrophotometer. We thought that the improved efficiency in the OSCs with post-annealing treatment at$150^{\circ}C$ can be explained by the efficient separation or collection of the photogenerated excitons at donor-acceptor interface by P3HT crystallization. -
$CeO_2$ 는 고체 산화물 연료전지 (SOFC, soild oxide fuel cell)의 전해질 재료와 CMP(Chemical Mechanical Polishing) 슬러리 재료, 자동차의 3원 촉매, gas sensor, UV absorbent등 여러 분야에서 사용되고 있다. 본 연구에서는 위의 활용범위 외에$CeO_2$ 의 구조적 안정성과 빠른$Ce^{3+}/Ce^{4+}$ 의 전환 특성을 이용하여 lithium ion battery의 anode 재료로서 전기화학적 특성을 알아보고자 실험을 실시하였다.$CeO_2$ 합성에 사용되는 전구체인 cerium carbonate의 형상 및 크기, 비표면적과 같은 물리화학적 특성이$CeO_2$ 분말의 특성에 직접적인 영향을 주기 때문에 전구체의 합성 단계에서 입자의 특성을 조절하였다. 전구체 합성의 출발원료로 cerium nitrate hexahydrate 와 ammonium carbonate를 사용하였고 반응온도 및 농도 등을 변화시켜 입자의 형상 및 결정상을 fiber형태의 orthorombic$Ce_2O(CO_3)_2{\cdot}H_2O$ 와 구형의 hexagonal$CeCO_3OH$ 의 세리아 전구체를 합성하였다. 이를$300^{\circ}C$ 에서 30분 동안 하소하여 전구체의 입자형상을 유지하는 cubic$CeO_2$ 를 합성하고 X-ray diffraction, FE-SEM, micropore physisorption analyzer 분석을 통하여 입자의 결정상과 형상, 비표면적 등을 비교 분석하고$Li/CeO_2$ couple의 충,방전 용량과 수명특성을 비교 분석하여$CeO_2$ 의 전기화학적 특성을 알아보았다. -
This paper describes the political and technical trends of foreign 10 countries advanced in solar energy field. In the trend analysis, a couple of statistical data and related references were compared. As a result, all of the advanced countries mentioned in this paper have their own PV policy strategies and regulatory frameworks to strengthen their stable market structures and have financially supported by various types of incentives and tariff systems. It was confirmed that the political basis and technical regulations including electrical safety have to be prepared as soon as possible at both national and rural level in this country.
-
Dye-sensitized Solar Cell (DSC) is a new type of solar cell by using photocatalytic properties of
$TiO_2$ . The electric potential distribution in DSCs has played a major role in the operation of such cells.$TiO_2$ thin films were deposited on the ITO substrate by Nd:YAG Pulsed Laser Deposition(PLD) at room temperature and post-deposition annealing at$500^{\circ}C$ in flowing$O_2$ atmosphere for 1hour. The structural properties of$TiO_2$ thin films have investigated by X-ray diffraction(XRD). We manufactured DSC unit cells then I-V and efficiency were tested by solar simulator. -
Yang, Hyeon-Hun;Baek, Su-Ung;Na, Kil-Ju;So, Soom-Youl;Park, Gye-Choon;Lee, Jin;Chung, Hae-Deok 467
For the manufacture of the$CuGaS_2$ , Cu, Ga and S were vapor-deposited in the named order. Among them, Cu and Ga were vapor-deposited by using the Evaporation method in consideration of their adhesive force to the substrate so that the composition of Cu and Ga might be 1 : 1, while the surface temperature having an effect on the quality of the thin film was changed from R.T.[$^{\circ}C$ ] to$150[^{\circ}C$ ] at intervals of 50[$^{\circ}C$ ]. As a result, at 300[$^{\circ}C$ ]of the Annealing temperature, their chemical composition was measured in the proportion of 1 : 1 : 2. It could be known from this experimental result that it is the optimum condition to conduct Annealing on the$CuGaS_2$ thin film under a vacuum when the$CuGaS_2$ thin film as an optical absorption layer material for a solar cell is manufactured. -
Kim, Tae-Bum;Jung, Tae-Hee;Shin, Jun-Oh;Won, Chang-Sub;Ji, Yang-Geun;Kong, Ji-Hyun;Kang, Gi-Hwan;Han, Hyung-Keun;Han, Deuk-Young 469
본 논문은 전류의 변화에 따른 태양전지 모듈의 열 분포에 대하여 실험하였다. 태양전지 모듈의 경우 내구성의 문제가 대두되고 있는데 그 중에 열에 의한 노화현상이 가장 큰 문제이다. 실제적으로 태양전지 모듈에서 저 전류와 고 전류의 경우에서 서로 상이한 열 분포가 이루어지고 있다. 이번 연구를 통해 열 해석에 있어서 전류에 따른 태양전지 모듈에서의 열에 의한 노화현상을 좀 더 정확히 예측 할 수 있을 것이다. -
Shin, Jun-Oh;Jung, Tae-Hee;Kim, Tae-Bum;Won, Chang-Sub;Ji, Yang-Geun;Kong, Ji-Hyun;Kang, Gi-Hwan;Ahan, Hyung-Keun;Han, Deuk-Young 471
최근 태양전지의 내구성과 관련하여 모듈의 노화에 따른 효율 저하 현상이 대두되고 있다. 노화현상원인 중의 하나인 Hot spot 혹은 염분에 의한 백화현상으로 Solar Cell 과 EVA Sheet간 막들뜸 현상이 발생하며 그로 인한 공기 및 수분에 의해 금속 산화가 이뤄진다. 본 연구에서는 특히 전해질의 농도에 따른 금속의 산화와 그 출력에 대해 다룸으로써 태양광 발전 설치 지역 조건에 따른 Module의 내구성을 검토해 보았다. 산성비의 대부분을 구성하고 있는 황산과 질산의 PH를 0.1 간격으로 Split하여 농도의 변화에 의한 금속 부식과 그에 따른 전기적 저항 및 출력변화를 통해 태양광 Module 최적의 설치 지역조건을 제시하였다. -
A miniaturized electrostatic column consists of a set of scan-deflector and lens components. Electrical noises of scan-deflectors have been classified by the applied voltage, and analyzed the noise effects of electron beam passing through the deflectors.
-
Remote Control System based on Sproute Cultivator using LED characteristics was realized. It was carried out to investigate into the effect of LED Control with the physiological activity of sprouts. We have also composed a Combined Automatic Control System possible for the control of temperature and humidity at the same time. The applied LEDs for measurement are blue, green, red, white, yellow leds. And we producted the remote control OS using Linux and defined the characteristics of automatic control about sprouts.
-
Bimodal Tram developed by KRRI is driven by a series Hybrid propulsion system which has both the CNG engine, generator and LPB(Lithium Polymer Battery) pack. It has three driving modes; Hybrid mode, Engine mode and Battery mode. Even in case of Battery mode, LPB pack to get enough power to drive the vehicle only by itself onsists of 168 LPB cells(80Ah per lcell), 650V. It is important thing to manage LPB pack in a right way, which will extend the lifetime of LPB cells and operate in the hybrid mode effectively. This paper has shown the development of battery management system(12 BMS, 1 BMS per 14cells) to manage LPB pack which is connected with CAN(Controller Area Network) each other and measure the voltage, current, temperature and also control the cooling fan inside of LPB pack. Using the measured data, BMS can show the SOC(State of Charge), SOH(State of Health) and other status of LPB pack including of the cell balancing.
-
The HEMU-400X(High-speed Electric Multiple Unit 400km/h eXperimental) project starts in 2007. It is required to analysis and simulate the train performance throughout the project life cycle for a successful completion of the project. This paper is devoted to the development of a train performance analysis model for the high-speed electric multiple unit 400km/h experimental. The model consist of running resistance model, train model, traction model and braking model. So, this paper represents the results of the train performance analysis.
-
In the paper we study for the ubiquitous networking based on power line communication technology with digital signal transmission. The necessity of research for using resources in the network effectively is being increase as network to use the PLC with smart grid networking. The data rate has compared with implemented modem 250Mbps in the pixel resolution and bandwidth, which has degraded with 80%. We also proposed for design of high-definition digital signal transceiver, which has used in the network between digital multimedia with PLC. Using resources in the network effectively can be also verified with this research.
-
In chemical mechanical planarization (CMP) process, the uniformity of stress acting on wafer surface is a key factor for uniform material removal of thin film especially in the oxide CMP. In this paper, we analyze the stress on the contact region between wafer and pad with finite-element analysis (FEA). The setting pressure acting on wafer back side was
$500g/cm^2$ and the retainer pressure was changed from 300 to$700g/cm^2$ . The polishing test is also done with the same conditions. The material removal rate profiles well-matched with stress distribution. -
Lee, Young-Kyun;Kim, Young-Min;Park, Sun-Jun;Lee, Chang-Suk;Bae, Jae-Hyun;Seo, Yong-Jin;Jeong, Hae-Do 484
반도체 소자가 차세대 초미세 공정 기술 도입의 가속화를 통해 고속화 및 고집적화 되어 감에 따라 나노 (nano) 크기의 회로 선폭 미세화를 극복하고자 최적의 CMP (chemical mechanical polishing) 공정이 요구되어지고 있다. 최근, 금속배선공정에서 높은 전도율과 재료의 값이 싸다는 이유로 Cu를 사용하였으나, 디바이스의 구조적 특성을 유지하기 위해 높은 압력으로 인한 새로운 다공성 막(low-k)의 파괴와, 디싱과 에로젼 현상으로 인한 문제점이 발생하게 되었다. 이러한 문제점을 해결 하고자 본 논문에서는 Cu의 ECMP 적용을 위해 LSV (Linear sweep voltammetry)법을 통하여 알칼리 성문인$NaNO_3$ 전해액과 산성성분인$HNO_3$ 전해액의 전압 활성화에 의한 active, passive, transient, trans-passive 영역을 I-V 특성 곡선을 통해 알아보았고, 알칼리와 산성 성분의 전해액이 Cu 표면에 미치는 영향을 SEM (Scanning electron microscopy), EDS (Energy Dispersive Spectroscopy), XRD(X-ray Diffraction)를 통하여 전기화학적 특성을 비교 분석하였다. -
Double side polishing process has been used for various industrial applications, such as polishing of semiconductor substrates and flat panel display glasses. In wafer manufacturing, double side polishing process is applied to improve wafer flatness and to minimize particle generation from wafers in device manufacturing processes, which is recognized as one of the most important processes. Whereas the kinematical modeling and analysis results of single side polishing, extensively used for chemical-mechanical polishing (CMP) in device manufacturing, are well investigated, the studies in conjunction with double side polishing are barely carried out, due to the complication of polishing system and the uncertainty of wafer motion in the carrier. This paper suggests the derivation of kinematical model with consideration of carrier and wafer motion in double side polishing, and then presents the effect of kinematical parameters on material removal amount and its non-uniformity. The kinematical analysis results help to understand the double side polishing process and to control the polishing results.
-
In this paper, the current-voltage (I-V) curves, such as linear sweep voltammetry (LSV) and cyclic voltammetry (CV), were employed to evaluate the effect of electrolyte concentration on the electrochemical reaction trend. From the I-V curve, the electrochemical states of active, passive, transient and trans-passive could be characterized. And then, we investigated that how this chemical affect the process of voltage-induced material removal in electrochemical mechanical polishing (ECMP) of Copper. The scanning electron microscopy (SEM) and energy dispersive spectroscopy EDS) analyses were used to observe the surface profile. Finally, we monitored the oxidation and reduction process of the Cu surface by the repetition of anodic and cathodic potential from cyclic voltammetry (CV) method in acid- and alkali-based electrolyte. From these analyses, it was important to understand the electrochemical mechanisms of the ECMP technology.
-
One of the critical consumables in chemical mechanical polishing (CMP) is a specialized solution or slurry, which typically contains both abrasives and chemicals acting together to planarize films. In single abrasive slurry (SAS), the solid phase consists of only one type of abrasive particle. On the other hand, mixed abrasive slurry (MAS) consists of a mixture of at least two types of abrasive particles. In this paper, we have studied the CMP characteristics of mixed abrasive slurry (MAS) retreated by adding of
$CeO_2$ abrasives within 1:10 diluted silica slurry (DSS). The slurry designed for optimal performance should produce reasonable removal rates, acceptable polishing selectivity with respect to the underlying layer, low surface defects after polishing, and good slurry stability. The modified abrasives in MAS are evaluated with respect to their particle size distribution, surface morphology, and CMP performances such as removal rate and non-uniformity. As an experimental result, we obtained the comparable slurry characteristics compared with original silica slurry in the viewpoint of high removal rate and low non-uniformity.