Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference (한국전기전자재료학회:학술대회논문집)
The Korean Institute of Electrical and Electronic Material Engineers
- Annual
2005.11a
-
Germanium nanocrystals(NCs) were formed in the silicon dioxide(
$SiO_2$ ) on Si layers by Ge implantation and rapid thermal annealing process. The density and mean size of Ge-NCs heated at$800^{\circ}C$ during 10 min were confirmed by High Resolution Transmission Electron Microscopy. Capacitance versus voltage(C-V) measurements of MOS capacitors with single$Al_2O_3$ capping layers were performed in order to study electrical properties. The C-V results exhibit large threshold voltage shift originated by charging effect in Ge-NCs, revealing the possibility that the structure is applicable to Nano Floating Gate Memory(NFGM) devices. -
ZnO nanowlres (NWs) were fabricated using Au as catalyst for a method combining laser ablation cluster formation and vapor-liquid-solid (VLS) growth. The target used in synthesis was pure ZnO ceramics. Two different substrates were used; (0001)-oriented sapphires and Au-coated sapphires. The Au thin film was deposited by thermal evaporation and the thickness was about 50
${\AA}$ . ZnO NWs were only formed in case of that used catalyst metal. Field effect scanning electron microscopic (FESEM) investigation showed that the average diameter of ZnO NWs was about 70 nm and the typical lengths varied from$3{\sim}4{\mu}m$ . -
The pseudo MOSFET measurement technique has been a simple and rapid method for characterization of SOI wafers without any device fabrication process. We adopted the pseudo MOSFET technique to examine the surface silicon film thickness dependence of electrical properties of SOI wafer. The measurements showed that turn-on voltage increased and electron mobility decreased as the SOI film thickness was reduced in the SOI film thickness of less than 20 nm region.
-
We report on the fabrication and characterization of self- and artificially-controlled ZnO nanostructures have been investigated to establish nanostructure blocks for ZnO-based nanoscale device application. Systematic realization of self- and artificially-controlled ZnO nanostructures on
$SiO_2/Si$ substrates was proposed and successfully demonstrated utilizing metalorganic chemical vapor deposition (MOCVD) in addition with a focused ion beam (FIB) technique. Widely well-aligned two-dimensional ZnO nanodot arrays ($4{\sim}10^4$ nanodots of 130-nm diameter and 9-nm height over$150{\sim}150{\mu}m^2$ with a period of 750 nm) have been realized by MOCVD on$SiO_2/Si$ substrates patterned by FIB. A low-magnification FIB nanopatterning mode allowed the periodical nanopatterning of the substrates over a large area in a short processing time. Ga atoms incorporated into the surface areas of FIB-patterned nanoholes during FIB engraving were found to play an important role in the artificial control of ZnO, resulting in the production of ZnO nanodot arrays on the FIB-nanopatterned areas. The nanodots evolved into dot clusters and rods with increasing MOCVD growth time. -
[
$Pb(Zr_{0.48}Ti_{0.52})O_3$ ] (PZT) thin films were deposited on Pt(111)/Ti/$SiO_2$ /Si substrates by pulsed laser deposition. In order to study the effect of different laser pulse repetition rate on the dielectric and ferroelectric properties of PZT thin films,2 Hz and 5 Hz of laser pulse repetition rate were selected. We compared the results of XRD pattern, dielectric constant and hysteresis characteristics. From the experimental data, we found that the electrical properties of PZT thin films which grown ar 2 Hz of laser pulse repetition rate were better than those which grown at 5 Hz of laser pulse repetition rate. -
The growth of
$Bi_2Te_3$ thin films on (001) GaAs substrates by metal organic chemical vapour deposition (MOCVD) is discussed in this paper. The results of surface morphology, electrical and thermoelectrical properties as a function of growth parameters are given. The surface morphologies of$Bi_2Te_3$ films were strong1y dependent on the deposition temperatures. Surface morphologies varied from step-flow growth mode to island coalescence structures depending on deposition temperature. In-plane carrier concentration and electrical Hall mobility were highly dependent on precursor's ratio of Te/Bi and deposition temperature. The high Seebeck coefficient (of$-160{\mu}VK^{-1}$ ) and good surface morphology of our result is promising for$Bi_2Te_3$ based thermoelectric thin film and two dimensional supperlattice device applications. -
Local lifetime control by ion implantation has become an useful tool for production of modern power devices. In this work, punch-through diodes were irradiated with protons for the high speed power diode fabrication. Proton irradiation was executed at the various energy and dose conditions. Characterization of the device was performed by I-V, C-V and Trr measurement. We obtained enhanced reverse recovery time characteristics which was about 45% of original device and about 73% of electron irradiated device. The measurement results showed that proton irradiation was able to effectively reduce minority carrier lifetime.
-
Kim, Ho-Sup;Ha, Hong-Soo;Oh, Sang-Soo;Ko, Rock-Kil;Yang, Ju-Saeng;Kim, Tae-Hyung;Song, Kyu-Jeong;Ha, Dong-Woo;Park, Yu-Mi;Youm, Do-Jun 18
EDDC(Evaporation using Drum in Dual Chamber) deposition system was manufactured for 100m long superconducting coated conductor. It is composed of reaction chamber, evaporation chamber and differential chamber. The drum is located across the differential and exposed to both of the evaporation chamber and the reaction chamber, and the tape is wound on the drum. The elements of superconducting material are co-evaporated from respective element boats in the evaporation chamber and deposited on the drum and reacted with oxygen in the reaction chamber. This process repeats by rotating the drum. When the total pressure of the reaction chamber was 5 mTorr, that of the evaporation chamber was$5{\sim}10^{-5}$ Torr. This atmosphere can be achieved by means of differential pumping. There are four evaporator in the evaporation chamber. One is the radiation heating evaporator and the others are the high frequency induction evaporator. EDDC is one of promising methods for commercialization of superconducting coated conductor. -
Song, K.J.;Ko, R.K.;Lee, Y.S.;Park, Y.M.;Yang, J.S.;Kim, H.S.;Ha, H.S.;Ha, D.W.;Kim, S.W.;Oh, S.S.;Kim, D.J.;Park, C.;Yoo, S.I. 20
[$BaZrO_3$ ], nanopowder was added to YBCO powder to make ($BazrO_3)_x(YBCO)_{(100-x)mol.-%}$ ($BZO_x$ -YBCO) ($0{\leq}x{\leq}10$ ) composite targets fur pulsed laser deposition of superconducting layer in order to investigate the effect of the addition of BZO nanopowder in the YBCO target on the flux pinning properties of$BZO_x$ -YBCO thin films. All the$BZO_x$ -YBCO thin films were grown on single crystal STO substrate under similar conditions in the PLD chamber. The effect of YBCO targets doped with BZO on the flux pinning properties of$BZO_x$ -YBCO thin films has been investigated comparatively. The isothermal magnetizations M(H) of the films were measured at temperatures between 5 and 80 K in fields up to 5 T, employing a PPMS. The optimal amount of BZO nanopowders in$BZO_x$ -YBCO thin films to obtain the strongest flux pinning effects at high magnetic fields is about 6 mol.-%. -
Ha, Hong-Soo;Yang, Ju-Saeng;Kim, Ho-Sup;Ko, Rock-Kil;Song, Kyu-Jung;Ha, Dong-Woo;Oh, Sang-Soo;Joo, Jin-Ho 22
In order to increase the critical current of coated conductor, highly Bi-axially textured substrates are required. Texture uniformity of substrate is also important to fabricate high quality superconducting coated conductor because the amount of current flow along the coated conductor is limited by the defects such as bad textured area. Therefore, we need to evaluate the distribution of texture of Ni substrate along the length before buffer layer deposition on Ni tape. R2R(reel-to-reel) XRD system was used to measure the texture of long Ni substrate continuously.$\theta-2\theta$ scan of 10 m long Ni tape was measured and indicates that some of Ni(111) planes equally remain on Ni(002) textured substrate. The results of continuous Ni(220)$\Phi$ -scan indicate that average FWHM is9$^{\circ}$ within$\pm$ 1. -
Paik, Jong-Hoo;Eom, Heyung-Keun;Lim, Eun-Kyeong;Kim, Chang-Il;Lee, Mi-Jae;Choi, Byung-Hyun;Kim, Sei-Ki 27
본 연구에서는$Cr_2O_3$ 와$Nb_2O_5$ 를 첨가한$Pb(Zr_{0.54}Ti_{0.48})O_3$ 세라믹스에서$Nb_2O_5$ 첨가량에 따른 소결 및 압전, 유전특성을 조사하였다.$Pb(Zr_{0.54}Ti_{0.48})O_3$ + 0.2 wt%$Cr_2O_3$ + wt%$Nb_2O_5$ ($0.{\sim}2wt.%$ )의 첨가량에 따른 압전, 유전특성 및 미세구조에 관해 연구하였다. 본조성에서$Nb_2O_5$ 첨가량이 증가함에 따라 입경의 크기는 증가하였으며, 0.5 wt%$Nb_2O_5$ 첨가조성에서$4\sim5\mu m$ 의 최대 평균입경을 보이다가 그 이상의 첨가 조성에서 급격히 감소하였다. 유전상수와 kp 는$Nb_2O_5$ 첨가량이 1.0 wt% 조성까지 증가하였다가 그 이상 조성에서 감소하였다.$Nb_2O_5$ 첨가량이 증가함에 따라 삼방정(rhombohedral)구조에서 정방정(tetragonal)구조로 상전이 일어났으며, 본 조성의 상경계 영역인 0.5 wt% 조성에서$\varepsilon_r$ = 730,$k_p$ = 0.72,$d_{33}$ = 450,$g_{33}$ = 70의 우수한 압전 특성을 나타내었다. 이러한 조성은 접촉센서용 복합압전소재의 실용가능성을 제시하였다. -
-
The effects of mole ratio and Ti-ion on the dielectric properties and microstructure of modified
$CaZrO_3$ composition such as$(Ca_{0.7}Sr_{0.3})_m(Ti_yZr_{1-y})O_3$ were investigated. Ti ions substituted on Zr-sites in these modified$CaZrO_3$ composition strongly affect the sintering density and microstructure of the fired ceramic body. With increasing the amount of Ti substituted on Zr-sites, the sintered density rapidly increased and the dense microstructures were obtained for the compositions having mole ratio of 1.01, whereas the sintered density and microstructures are nearly constant with the content of Ti-ion for the compositions having mole ratio of 0.99. With increasing the content of Ti ion, the curve of TCC (temperature coefficient of capacitance) as a function of temperature rotated clockwise and satisfied the COG characteristics for both of compositions with mole ratio of 0.99 and 1.01. The content of Ti ion seems to be more effective than mole with respect to the controlling of firing and TCC. -
In this study, in odor to develop low temperature sintering multilayer piezoelectric actuator and ultrasonic vibrator, PMN-PNN-PZT ceramics were fabricated using
$Li_2CO_3$ and$Na_2CO_3$ as sintering aids and their piezoelectric and dielectric characteristics were investigated according to the addition of dopant CuO and$Fe_2O_3$ , respectively. The CuO added PMN-PNN-PZT ceramics improved mechanical quality factor Qm due to the acceptor doping effect. And also,$Fe_2O_3$ reacted as softner in this composition system in addition to the increase of grain size and sinterability. Taking into consideration electromechanical coupling factor kp of 0.62, dielectric constant$\varepsilon_r$ , of 1275, Piezoelectric$d_{33}$ constant of 377[pC/N] and mechanical quality factor Qm of 975, it was concluded that the ceramics with the$Fe_2O_3$ , added composition sintered at 900[$^{\circ}C$ ] were best for the multilayer piezoelectric actuator and ultrasonic vibrator application. -
The effect of reduction and re-oxidation firing on the PTCR properties of Sm-doped Barium Titanate ceramics was investigated for the application of multilayered PTC thermistor. The lattice parameter a, c decreases monotonically with increasing oxygen concentration in the reoxidation atmosphere, which seems to be related with the electrostatic Coulomb interaction between oxygen vancancy and nearest other atoms. With increasing oxygen concentration, the resistivity at room temperature and the magnitude of resistivity jump as a function of temperature increased in the region of oxygen concentration of 0
$\sim$ 10%. However, the resistivity at room temperature and the magnitude of resistivity jump is nearly constant and saturated in the region of oxygen concentration of 10$\sim$ 20%. These phenomena is considered to be related with the variation of oxygen and barium-vancany concentration near the grain boundary. -
Ferroelectric Eu-substituted
$Bi_4Ti_3O_{12}$ (BET) thin films with a thickness of 200 nm were deposited on Pt(111)/Ti/SiO$_2$ /Si(100) substrate by means of the liquid delivery MOCVD system and annealed at several temperatures in an oxygen atmosphere. At annealing temperature above$600^{\circ}C$ , the microstructure of layered perovskite phase was observed. The remanent polarization of these films increased with increase in annealing temperature. The remanent polarization values ($2P_r$ ) of the BET thin films annealed at$720^{\circ}C$ were$37.71{\mu}C/cm^2$ at an applied voltage of 5 V. -
The characteristics of ZnO films are reported depending on different deposition conditions for film bulk acoustic resonators (FBARs). The ZnO films have been deposited on Al films evaporated on p-type (100) silicon substrate by pulsed laser deposition (PLD) technique using a Nd:YAG laser. These films exhibit an electrical resistivity higher than
$10^7$ $\Omega$ m. X-ray diffraction measurements have shown that ZnO films are highly c-axis oriented with full width at half maximum (FWHM) below$0.5^{\circ}$ . These results show the possibility of FBAR devices using by PLD. -
SU-8 is a epoxy based photoresist designed for MEMS applications, where a thick, chemically and thermally stable image is desired. But SU-8 has proven to be very sensitive to variation in processing variables and hence difficult to use in the fabrication of useful structures. In this paper, negative SU-8 photoresist processed has been characterized in terms of delamination. Based on a full factorial designed experiment. Employing the design of experiment (DOE), a process parameter is established, and analyzing of full factional design is generated to investigate degree of delamination associated with three process parameters: post exposure bake (PEB) temperature, PEB time, and exposure energy. These results identify acceptable ranges of the three process variables to avoid delamination of SU-8 film, which in turn might lead to potential defects in MEMS device fabrication.
-
The piezoelectric ZnO thin films were deposited onto Al/Si substrate in order to figure out the crystalline and the residual stress of deposited films. As the
$Ar/O_2$ gas ratio is increased, c-axis orientation of deposited films is significantly enhanced and also the residual stresses of ZnO films are all compressive. They are decreased from -1.2 GPa to -950 MPa as the$Ar/O_2$ gas ratio is increased. A diaphragm-based piezoelectric microspeaker fabricated on ONO films shows about 14 mPa output pressure at 1 kHz with$8V_{peak-to-peak}$ . -
The moving photocarrier grating(MPG) technique for the determination of the carrier mobilities and the recombination lifetime in a-Se:As films have been studied. The electron and hole drift mobility and the recombination lifetime of a-Se films with arsenic (As) additions have been obtained. We have found an increase in hole drift mobility and recombination lifetime, especially when 0.3% As is added into a-Se film. However, the electron mobility exhibits no observable change up to 0.5% As addition in a-Se films.0.3% As added a-Se film also exhibits the maximum short circuit current densities per laser intensity of
$5.29\times10^{-7}$ A/W. -
Kang, Hong-Seong;Lim, Sung-Hoon;Chang, Hyun-Woo;Kim, Gun-Hee;Kim, Jong-Hoon;Lee, Sang-Yeol;Lee, Jung-Kun;Nastasi, Michael 49
Nitrogen and phosphorus ions were implanted into ZnO thin film fabricated by pulsed laser deposition. ion implanted ZnO thin films were annealed from$700^{\circ}C$ to$1000^{\circ}C$ using rapid thermal annealing process. The electron concentration was changed form$10^{20}$ to$10^{18}/cm^3$ . Effect of nitrogen and phosphorus in ZnO thin films was certified and the structural and optical properties of nitrogen and phosphorus doped ZnO thin films depending on concentration of nitrogen and phosphorus were investigated. -
Phosphorus doped ZnO thin films on (001)
$Al_2O_3$ substrate have been prepared by a pulsed laser deposition (PLD) technique using a Nd:YAG laser. After deposition, phosphorus doped ZnO thin films have been annealed in vacuum, air, nitrogen, and oxygen ambients using pulsed Nd:YAG laser. We report the electrical properties of phosphorus doped ZnO thin films with the variation of the laser annealing conditions for the applications of optoelectronic devices. -
We report on plasma damage free-sputtering technologies for organic light emitting diodes (OLEDs), organic thin rim transistor (OTFT) and flexible displays by using a box cathode sputtering (BCS) method. Specially designed BCS system has two facing targets generating high magnetic fields ideally entering and leaving the targets, perpendicularly. This target geometry allows the formation of high-density plasma between targets and enables us to realize plasma damage free sputtering on organic layer without protection layer against plasma. The OLED with top cathode prepared by BCS shows electrical and optical characteristics comparable to OLED with thermally evaporated Mg-Ag cathode. It was found that TOLED with ITO or IZO top cathode layer prepared by BCS has much lower leakage current density (
$1\times10^{-5}$ mA/cm2 at -6V) than that ($1\times10^{-1}\sim10^{\circ}mA/cm^2$ )of OLED prepared by conventional DC sputtering system. This indicates that BCS technique is a promising electrode deposition method for substituting conventional thermal evaporation and dc/rf sputtering in fabrication process of organic based optoelectronics. -
The properties of phosphorus doped ZnO thin films deposited on (001) sapphire substrates by pulsed laser deposition (PLD) were investigated depending on various deposition conditions. The phosphorus (P) doped ZnO target was composed of ZnO + x wt% Al (x=1, 3, 5). The structural, electrical and optical properties of the ZnO thin films were measured by X-ray diffraction (XRD), Hall measurements and photoluminescence (PL). As the deposition temperature optimized, the electrical properties of the phosphorus doped ZnO (ZnO:P) layer showed a electron concentration of
$7.76\times10^{16}/cm^3$ , a mobility of 10.225$cm^2/Vs$ , a resistivity of 7.932$\Omega$ cm. It was observed the electrical property of the film was changed by dopant activation effect as target variations and deposition conditions. -
The characteristics of GaN epitaxial layers grown on silicon (111) substrates by metalorganic vapor phase epitaxy have been investigated. The only control of AIN thickness was found to decrease the stress sufficiently for avoiding crack formation in an overgrown thick (
$2.6{\mu}m$ ) CaN layer. X-ray diffraction measurementsare used to determine the effect of AIN thickness on the strain in the subsequent GaN layers. The 437arcsec linewidth on the (002) x-ray rocking curve also attest the high crystalline quality of GaN on Si (111). -
The present article deals with in situ post annealing of ZnO in sputtering system. The ZnO thin films were grown at low temperature of
$100^{\circ}C$ and at working pressure of 15 mTorr with RF magnetron sputtering. Having been gown, ZnO thin films were annealed in situ at different temperatures, at annealing ambient pressure of 15 mTorr and in ambients of oxygen and argon respectively. Through analyses of XRDs, it is can be concluded that the crystallinity of annealed ZnO thin films becomes much better than that of as-grown ZnO thin film. -
CdS thin films were fabricated by rf magnetron sputter technique, and annealed in tube furnace using vacuum evaporated
$CdCl_2$ layer, In addition, effects of the thickness of$CdCl_2$ layer and the annealing temperature on structural and optical properties of CdS films were investigated. The heat treatment process was carried out by heating the sample in air at$350-500^{\circ}C$ for 20 minute. -
Etching characteristics of
$Ge_2Sb_2Te_5$ (GST) films were investigated using$Cl_2$ /Ar inductively coupled plasma.We examined the etching characteristics such as etching rate and selectivity over oxide films of GST films using inductively coupled plasma (ICP) with various etching parameters such as$Cl_2$ /Ar gas mixing ratios, ICP source power, pressure, and bias power. The maximum etch rate of GST film was$2,815{\AA}$ /min and the selectivity higher than 12:1 over the oxide films was also obtained at the$Cl_2$ flow rates of 40 sccm. -
Park, Eung-Seok;Kim, Hye-Young;Jun, Dae-Young;Huh, Jung-Hwan;Park, So-Jeong;Kim, Dae-Hyun;Ji, Hyun-Jin;Kim, Gyu-Tae 67
-
BTO (
$BaTiO_3$ ) thin film is one of the high dielectric materials for high-density dynamic random access memories (DRAMs) due to its relatively high dielectric constant. It is generally known that BTO film is difficult to be etched by plasma etching, but high etch rate with good selectivity to pattern mask was required. The problem of sidewall angle also still remained to be solved in plasma etching of BTO thin film. In this study, we first examined the patterning possibility of BTO film by chemical mechanical polishing (CMP) process instead of plasma etching. The sputtered BTO film on TEOS film as a stopper layer was polished by CMP process with the self-developed$BaTiO_3$ - and$TiO_2$ -mixed abrasives slurries (MAS), respectively. The removal rate of BTO thin film using the$ BaTiO_3$ -mixed abrasive slurry ($BaTiO_3$ -MAS) was higher than that using the$TiO_2$ -mixed abrasive slurry ($TiO_2$ -MAS) in the same concentrations. The maximum removal rate of BTO thin film was 848 nm/min with an addition of$BaTiO_3$ abrasive at the concentration of 3 wt%. The sufficient within-wafer non-uniformity (WIWNU%)below 5% was obtained in each abrasive at all concentrations. The surface morphology of polished BTO thin film was investigated by atomic force microscopy (AFM). -
The material that is both conductive in electricity and transparent to the visible ray is called transparent conducting thin film. It is investigated the performance of ITO-CMP process using commercial silica slurry with the various conditioning temperatures by control of de-ionized water (DIW). Removal rate of ITO thin film was improved after CMP process after pad conditioning at the high temperature by improved exclusion of slurry residues in polishing pad..
-
Stress behavior was studied to investigate the internal behaviors of boron, carbon, and nitrogen in the 1000
${\AA}$ -thick tungsten boron carbon nitride (W-B-C-N) thin films. The impurities in the W-B-C-N thin films provide stuffing effects that were very effective for preventing the interdiffusion between interconnection metal and silicon substrate during the subsequent high temperature annealing process. The resistivity of W-B-C-N thin film decreases as an annealing temperature increase. The W-B-C-N thin films have compressive stress, and the stress value decreased up to$4.11\times10^{10}dyne/cm^2$ as an$N_2$ flow rate increases up to 3 sccm. -
ZnO epilayer were synthesized by the pulesd laser deposition(PLD) process on
$Al_2O_3$ substrate after irradiating the surface of the ZnO sintered pellet by the ArF(193 nm) excimer laser. The epilayers of ZnO were achieved on sapphire ($Al_2O_3$ ) substrate at a temperature of$400^{\circ}C$ . The crystalline structure of epilayer was investigated by the photoluminescence. The carrier density and mobility of ZnO epilayer measured with Hall effect by van der Pauw method are$8.27{\times}10^{16}cm^{-3}$ and$299cm^2/V{\cdot}s$ at 293 K, respectively. The temperature dependence of the energy band gap of the ZnO obtained from the absorption spectra was well described by the Varshni's relation,$E_g$ (T) = 3.3973 eV - ($2.69{\times}10^{-4}$ eV/K)$T_2$ /(T + 463 K). The crystal field and the spin-orbit splitting energies for the valence band of the ZnO have been estimated to be 0.0041 eV and 0.0399 eV at 10 K, respectively, by means of the photocurrent spectra and the Hopfield quasicubic model. These results indicate that the splitting of the$\triangle$ so definitely exists in the$\ulcorner_6$ states of the valence band of the ZnO. The three photocurrent peaks observed at 10K are ascribed to the$A_1-$ ,$B_1-$ , and$C_1$ -exciton peaks for n = 1. -
Single crystal
$CuAlSe_2$ layers were grown on thoroughly etched semi-insulating GaAs(100) substrate at 410$^{\circ}C$ with hot wall epitaxy (HWE) system by evaporating$CuAlSe_2$ source at$680^{\circ}C$ . The crystalline structure of the single crystal thin films was investigated by the photoluminescence(PL) and double crystal X-ray diffraction (DCXO). The temperature dependence of the energy band gap of the$CuAlSe_2$ obtained from the absorpt ion spectra was wel1 described by the Varshni's relation,$E_g$ (T) = 2.8382 eV - ($8.86\times10^{-4}$ eV/H)$T_2$ /(T + 155K). After the as-grown single crystal$CuAlSe_2$ thin films were annealed in Cu-, Se-, and Al-atmospheres, the origin of point defects of single crystal$CuAlSe_2$ thin films has been investigated by PL at 10 K. The native defects of$V_{cd}$ ,$V_{se}$ ,$Cd_{int}$ , and$Se_{int}$ obtained by PL measurements were classified as donors or acceptors. And we concluded that the heat-treatment in the Cu-atmosphere converted single crystal$CuAlSe_2$ thin films to an optical n-type. Also. we confirmed that hi in$CuAlSe_2$ /GaAs did not form the native defects because Al in single crystal$CuAlSe_2$ thin films existed in the form of stable bonds. -
We report on optically excited terahertz (THz) omission from low-temperature (LT) grown GaAs. We have used 70 fs titanium-sapphire laser pulses with wavelengths at 800 nm to generate THz radiation pulses. The LT-GaAs layers are grown on semi-insulating GaAs substrates with GaAs buffer layer by molecular beam epitaxy (MBE). The THz emission from the LT-GaAs surface is strong and does not show any significant variation in the strength of the THz emission over several different angles between the polarization of the excitation laser pulse and the crystallographic orientation of the LT-GaAs.
-
Chalcogenide phase change memory has high performance to be next generation memory, because it is a nonvolatile memory processing high programming speed, low programming voltage, high sensing margin, low consumption and long cycle duration. We have developed a sample of PRAM with thermal protected layer. We have investigated the phase transition behaviors in function of process factor including thermal protect layer. As a result, we have observed that set voltage and duration of protect layer are more improved than no protect layer.
-
-
Dislocations are often found at Shallow Trench Isolation (STI) process after repeated thermal cycles. The residual stress after STI process often leads defect like dislocation by post STI thermo-mechanical stress. Thermo-mechanical stress induced by STI process is difficult to remove perfectly by plastic deformation at previous thermal cycles. Embedded flash memory process is very weak in terms of post STI thermo-mechanical stress, because it requires more oxidation steps than other devices. Therefore, dislocation-free flash process should be optimized.
-
Aluminum(Al) sputtering is best known method to form Al film for the Si wafer in the process of 180nm and above. In the Al metal line process, one of the frequently founded and well-known defect was metal depression. In this paper, several experiments were performed such as temperature, Ar gas flow rate, thickness change in other to reduce the metal depression and find the origination of metal depression. Through experiments, it is found that metal depression was significantly related to the temperature. And the Ar gas flow rate did not influence to the creation of depression. The off status ESC also showed stable metal film without depression by same mechanism of temperature decrease. Also, thickness is strongly influence to the metal depression.
-
Boron Phosphide films were deposited on (111) Si substrate at 650
$^{\circ}C$ , by the reaction of$B_2H_6$ with$PH_3$ using APCVD.$N_2$ was carried out as carrier gas. The optimal gas rates were 20 m$\ell$ /min for$B_2H_6$ , 60 m$\ell$ /min for$PH_3$ and 1$\ell$ /min for$N_2$ . After as grown the films were insitu annealed for 1hour in N$_2$ ambient at$550^{\circ}C$ and measured. The measurement of AFM shows that the RMS is$29.626{\AA}$ for the reaction temperature at 650$^{\circ}C$ . The measurement of XRD shows that the films have the orientation of (101). Also, the measurement of AES is shown that the films have$B_{13}P_2$ stoichiometry. -
The electromagnetic coupling effect in standard CMOS process is simulated and evaluated. EM coupling transfer characteristic between planar spiral inductors by isolation methode in standard CMOS have simulated and measured. Measurement results show that suppression of EM coupling effect by ground guardring. The evaluated structures are fabricated 1P5M(one poly, five metal) 0.25um standard CMOS process. These measurement results provide a isolation design guidelines in standard CMOS process for Rf coupling suppression.
-
본 연구에서는 저온 공정에서 제작되는 소자에의 응용을 위하여 Inductively Coupled Plasma Chemical Vapor Deposition(ICP-CVD) 내에서
$N_2O$ 기체를 활용한 plasma oxidation을 통한 silicon 표면의 oxynitridation과 이로부터 tunnel gate dielectirics로 사용될 SiON 층을 형성하였으며, 형성된 SiOxNy 층의 전기적 특성을 측정하여 tunnel gate dielectrics로서 효과적인 기능을 수행함을 확인하였다. 형성된 박막의 성분 분석을 위하여 energy dispersive spectroscopy(EDS)를 이용하여 SiOxNy 층의 생성을 확인하였으며, 전기적인 특성을 통하여 tunnel gate dielectrics의 기능을 수행함을 알 수 있었다. 형성된 SiOxNy 층은 초박막 형태임에도 절연막으로서의 기능을 나타내었다. -
The general method and mechanism for the polarity control of heteroepitaxial wurtzite films, such as ZnO and GaN, by interface engineering via plasma-assisted molecular beam epitaxy are addressed. We proposed the principle and method controlling the crystal polarity of ZnO on GaN and GaN on ZnO. The crystal polarity of the lower film was maintained by forming a heterointerfce without any interface layer between the upper and the lower layers. However the crystal polarity could be changed by forming the heterointerface with the interface layer having an inversion center. The principle and method suggested here give us a promising tool to fabricate polarity inverted heterostructures, which applicable to invent novel heterostructures and devices.
-
To investigate the ZnO thin films which is interested in the next generation of short wavelength LEDs and Lasers, our ZnO thin films were deposited by RF sputtering system. Phosphorus (P) and arsenic (As) were diffused into about 2.1
${\mu}m$ ZnO thin films sputtered by RF magnetron sputtering system mn ampoule tube which was below$5\times10^{-7}$ Torr. The dopant sources of phosphorus and arsenic were$Zn_3P_2$ and$ZnAs_2$ . Those diffusion was perform at 500, 600, and 700$^{\circ}C$ during 3hr. We find the condition of p-type ZnO whose diffusion condition is 700$^{\circ}C$ , 3hr Our p-type ZnO thin film has not only very high carrier concentration of above$10^{19}/cm^3$ but also low resistivity of$5\times10^{-3}{\Omega}cm$ . -
Lee, Jae-Hyeoung;Choi, Sung-Hun;Choi, Won-Seok;Hong, Byung-You;Kim, Jeong-Tae;Lim, Dong-Gun;Yang, Kea-Joon 99
We have grown carbon nanotubes (CNTs) with a microwave plasma chemical vapor deposition (MPECVD) method, which has been regard as one of the most promising candidates for the synthesis of CNTs due to the vertical alignment, the low temperature and the large area growth. We use methane ($CH_4$ ) and hydrogen ($H_2$ ) gas for the growth of CNTs. 60 nm thick Ni catalytic layer were deposited on the TiN coated glass substrate by RF magnetron sputtering method. In this work, we report the effects of pressure on the growth of CNTs. We have changed pressure of processing (10$\sim$ 20 Torr) deposition of CNTs. SEM (Scanning electron microscopy) images show diameter, length and cross section state CNTs. -
Cadmium telluride (CdTe) films have been prepared on Coming 7059 glass, molybdemium (Mo), and polyimide (PI) substrates by r.f. magnetron sputtering technique. The influence of the sputter pressure on the structural and optical properties of these films was evaluated. In addition, a comparison of the properties of the films deposited on different substrates was performed.
-
-
-
As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process has been widely used in microelectronics and semiconductor processes. Indium tin oxide (ITO) thin film was polished by CMP by the change of process parameters for the improvement of CMP performance. Removal rate and planarity were improved after CMP process at the optimized process parameters compared to that before CMP process.
-
In this paper, we first applied the chemical mechanical polishing (CMP) process to the planarization of ferroelectric film in order to obtain a good planarity of electrode/ferroelectric film interface.
$Pb_{1.1}(Zr_{0.52}Ti_{0.48})O_3$ (shortly PZT) ferroelectric film was fabricated by the sol-gel method. And then, we compared the structural characteristics before and after CMP process of PZT films. Their dependence on slurry composition was also investigated. We expect that our results will be useful promise of global planarization for ferroelectric random access memories (FRAM) application in the near future. -
We have suggested sputtered W-C-N thin film for preventing thermal budget between semiconductor and metal. These results show that the W-C-N thin film has good thermal stability and low resistivity. In this study we newly suggested sputtered W-B-C-N thin diffusion barrier. In order to improve the characteristics, we examined the impurity behaviors as a function of nitrogen gas flow ratio. This thin film is able to prevent the interdiffusion during high temperature (700 to
$1000^{\circ}C$ ) annealing process and has low resistivity ($\sim$ 200$\mu{\Omega}-cm$ ). Through the analysis of X-Ray diffraction, resistivity and XPS, we studied structure behavior of W-B-C-N diffusion barrier. -
To obtain the single crystal thin films,
$CuInSe_2$ , mixed crystal was deposited on thoroughly etched semi-insulating GaAs(100) substrate by the hot wail epitaxy (HWE) system. The source and substrate temperatures were 620$^{\circ}C$ and 410$^{\circ}C$ , respectively. The crystalline structure of the single crystal thin films was investigated by the photoluminescence and double crystal X-ray diffraction (DCXD). The carrier density and mobilily of$CuInSe_2$ single crystal thin films measured with Hall effect by van der Pauw method are$9.62\times10^{16}$ $cm^{-3}$ and$296cm^2/V{\cdot}s$ at 293 K, respectively. The temperature dependence of the energy band gap of the CulnSe$_2$ obtained from the absorption spectra was well described by the Varshni's relation E$_g$ (T) = 1.1851 eV - ($8.99\times10^{-4}$ ev/K)T$_2$ /(T + 153K). After the as-grown$CuInSe_2$ single crystal thin films was annealed in Cu-, Se-, and In-atmospheres the origin of point defects of$CuInSe_2$ single crystal thin films has been investigated by the photoluminescence(PL) at 10 K. The nat ive defects of V$_{Cu}$ ,$V_{Se}$ , Cu$_{int}$ , and$Se_{int}$ obtained by PL measurements were classified as a donors or accepters type. And we concluded that the heat-treatment in the Cu-atmosphere converted$CuInSe_2$ single crystal thin films to an optical n-type. Also, we confirmed that In in$CuInSe_2$ /GaAs did not form the native defects because In in$CuInSe_2$ single crystal thin films existed in the form of stable bonds. -
We present the effect of substrate temperature on the structural and optical properties of ZnO films grown on sapphire substrate by pulsed laser deposition. Growing at higher substrate temperature results in an increase in the surface roughness. The optimum c-axis orientation of the ZnO films occurs at the substrate temperature of 700
$^{\circ}C$ The decay time shows a rapid increase in the substrate temperature from 400$^{\circ}C$ to 500$^{\circ}C$ and falls down gradually as the substrate temperature is approached to 700$^{\circ}C$ . -
Si nanowires (NWs) were fabricated in vacuum furnace by using a Nd:YAG pulsed laser with the wavelength of 325 nm. Commercial p-type Si wafer is used for target, and any catalytic materials are not used. Scanning electron microscopy (SEM) images indicate that the diameters of Si NWs ranged from 10 to 150 nm. Si NWs have various size and shape with a substrate position inside a furnace, and their morphologic construction is reproducible. The formation mechanism of the NWs is discussed.
-
The SONOS devices have been fabricated by the conventional
$0.35{\mu}m$ complementary metal-oxide-semiconductor (CMOS) process with NOR array. Two-bit operation using conventional process achieve the high density memory compare with other two-bit memory. Lateral diffusion phenomenon in the two-bit operation cause soft error in the memory. In this study, the programming conditions arc investigated in order to reduce lateral diffusion for two-bit operation of CSL-NOR type SONOS flash cell. -
-
단층벽 탄소나노튜브(Single-walled carbon nanotube)를 성장시키고 성장된 탄소나노튜브를 여러 단계의 여과과정이 필요 없는 단순한 정제과정을 통하여 비정질 탄소 찌꺼기, 촉매 금속 등의 불순물을 제거하였다. 성장 온도가 증가함에 따라 수율이 증가하고 불순물의 함유량이 적은 탄소나노튜브가 성장이 되었으며 전반적으로 0.41-2.0 nm의 균일한 직경을 가진 SWNT가 합성이 되었으며 정제 후 96% 이상의 순도를 가진 SWNT를 얻을 수 있었다. 전반적으로 실험결과에 대한 물질의 특성 은 field emission scanning electron microscopy (FESEM)와 X-ray diffraction (XRD) 그리고 Raman spectroscopy로 조사하였다.
-
ZnS:Mn thin films have attracted great interest as electroluminescence devices. In this study, inductively coupled BCl
$_3$ /Ar plasma was used to etch ZnS:Mn thin films. We obtained the maximum etch rate of ZnS:Mn thin films was 2209${\AA}$ /min at a BCl$_3$ (20%)/Ar(80%) gas mixing ratio, an RF power of 700 W, a DC bias voltage of-250 V, a total gas flow of 20 sccm, and a chamber pressure of 1 Pa. It was proposed that sputter etching is dominant etching mechanism while the contribution of chemical reaction is relatively low due to low volatility of etching products. -
Semiconductor carbon nanotube was grown on oxided silicon wafer with Atmosphere Pressure Chemical Vapor Deposition (APCVD) ethmod and investigated the electrical property after thermal oxidation at 300
$^{\circ}C$ in air. The electrical property was measured at room temperature in air after thermal oxidation at 300$^{\circ}C$ for various times in air. Semiconductor carbon nanotube was steadily changed to metallic carbon nanotube as increasing of thermal oxidation times at 300$^{\circ}C$ in air. -
In this research, non-volatile memory effects and nano-crystal creation have been investigated in SiNx containing Si nano-crystals (Si-nc) produced by ICP-CVD and rapid thermal annealing. The quantum dots were created during rapid thermal annealing of Si-rich SiNx thin films. The quantum dot creation was analyzed with photoluminescence spectra, and in case of Si-rich SiNx, it is conformed that the quantum dots are formed easily at 750
$\sim$ 800nm wavelength. -
In the era of submicron devices, super ultra thin gate oxide characteristics are required. Titanium silicide process has studied gate oxide reliability and dielectric strength characteristics as the composition of gate electrode. In this study the author observed process characteristics on MOS structure. In view point of the process characteristics of MOS capacitor, the oxygen & Ti, Si2 was analyzed by SIMS analysis on before and after annealing with 1,2 step silicidation, the Ti contents[Count/sec]of
$9.5{\times}1018$ &$6.5{\times}1018$ on before and after 2'nd anneal. The oxygen contents[Count/sec] of$4.3{\times}104$ &$3.65{\times}104$ , the Si contents[Count/sec] of$4.2{\times}104$ &$3.7{\times}104$ on before and after 2'nd anneal. The rms value[A] was 4.98, & 4.03 on before and after 2'nd anneal. -
Park, Hee-Doo;An, Byung-Chul;Kim, Tag-Yong;Shin, Jong-Yeol;Kim, Gui-Yeol;Lee, Chun-Ho;Hong, Jin-Woong 132
고분자 절연재료의 혼합사용을 통한 계면의 전기적 특성 및 온도 특성을 조사하기 위하여 XLPE층 과 EPDM 층을 각각 단일층 및 이중층으로 적층하였으며, 온도는 상온에서 100 [$^{\circ}C$ ]까지 변환시키면서 유전정접을 측정하였다. 또한 data는 LABview를 이용하여 GPIB통신으로 받아들인 값을 평균치를 구하여 컴퓨터에 저장하였다. 측정결과 온도에 무관하게 이층구조는 단일층 사이의 값을 나타내며, 온도증가에 따라 XLPE 단일층의 변화가 크게 나타났으며, 이중층은 EPDM의 변화에 크게 의존함을 확인할 수 있었다. -
To improve mean-life and reliability of power cable, we have investigated volume resistivity and thermal conductivity showed by changing the content of acetylene black which is the component parts of semiconductive shield in underground power transmission cable. The sheets were primarily kneaded in their pellet form material samples for 5 minutes on rollers ranging between 70[
$^{\circ}C$ ] and 100[$^{\circ}C$ ]. Then they were produced as sheets after pressing for 20 minutes at 180[$^{\circ}C$ ] with a pressure of 200[kg/cm]. The content of conductive acetylene black was the variable, and their contents were 20, 30 and 40[wt%], respectively. Volume resistivity of specimens was measured by volume resistivity meter after 10 minutes in the preheated oven of both$25\pm1[^{\circ}C]$ and$90\pm1[^{\circ}C]$ . Thermal conductivity was measured by Nano Flash Diffusivity. The measurement temperatures of thermal conductivity using Nano Flash Diffusivity were both 25[$^{\circ}C$ ] and 55[$^{\circ}C$ ]. From these experimental results, volume resistivity was high according to an increase of the content of acetylene black. And thermal conductivity was increased to an increase of the content of acetylene black. And thermal conductivity were increased by heating rate because volume of materials was expanded according to rise in temperature. -
To measure elastic properties of semiconducting materials in power cable, we have investigated modulus of EBA/carbon black composite showed by changing the content of carbon black. The specimen was primarily kneaded in material samples of pellet form for 5 minutes on rollers ranging between 70[
$^{\circ}C$ ] and 100[$^{\circ}C$ ]. Then this was produced as sheets after pressing for 20 minutes at 180[$^{\circ}C$ ] with a pressure of 200[kg/cm]. The contents of conductive carbon black were 20, 30 and 40[wt%], respectively. The modulus experiment was measured by DMA 2980. The ranges of measurement temperature were from -50[$^{\circ}C$ ] to 100[$^{\circ}C$ ] and measurement frequency is 1 [Hz]. The modulus of specimens was increased according to an increment of carbon black content And modulus was rapidly decreased at the glass transition temperature. The tan$\delta$ of specimens was decreased according to an increment of carbon black content. -
Lee, Kyoung-Yong;Yang, Jong-Seok;Choi, Yong-Sung;Nam, Jong-Chul;Sung, Baek-Ryong;Park, Dong-Ha;Park, Dae-Hee 138
To measure electrical properties and viscoelasticity of semiconducting materials in power cable, we have investigated dielectric properties and modulus of EEA/acetylene black composite showed by changing the content of acetylene black. The permittivity from experimental result was increased, while tan6 was decreased by an increment of the content of acetylene black. The modulus of specimens was increased according to a increment of a acetylene black content. And modulus was rapidly decreased at the glass transition temperature. The tan$\delta$ of specimens was decreased according to a increment of a acetylene black content. -
Lee, Kyoung-Yong;Yang, Jong-Seok;Choi, Yong-Sung;Nam, Jong-Chul;Sung, Baek-Ryong;Park, Dong-Ha;Park, Dae-Hee 140
To measure elastic properties of semiconducting materials in power cable, we have investigated modulus of EVA/acetylene black composite showed by changing the content of acetylene black. The specimen was primarily kneaded in material samples of pellet form for 5 minutes on rollers ranging between 70[$^{\circ}C$ ] and 100[$^{\circ}C$ ]. Then this was produced as sheets after pressing for 20 minutes at 180[$^{\circ}C$ ] with a pressure of 200[kg/cm]. The contents of conductive acetylene black were 20, 30 and 40[wt%], respectively. The modulus experiment was measured by DMA 2980. The ranges of measurement temperature were from -50[$^{\circ}C$ ] to 100[$^{\circ}C$ ] and measurement frequency is 1[Hz]. The modulus of specimens was increased according to an increment of acetylene black content. And modulus was rapidly decreased at the glass transition temperature. The tan$\delta$ of specimens was decreased according to an increment of acetylene black content. -
To detect partial discharge of 154kV joint box, we have made experiment by using the Al-foil electrode sensor. Generally the signals which are detected in partial discharge test of underground power transmission cable are accompanied wi th both noises of high voltage and noises of surrounding power cable. The most noise in near to end part of joint box is corona, beside other noises flowed from surrounding area. Partial discharge test is difficulty due to these noises. First, we had used Al-Foi1 sensor on middle joint box of 154[kV] underground transmission power cable, and then analyzed reliability of calibration signal by using the Al-Foi1 electrode sensor of NJB. From above results, decrement properties measured highly. But incase of injecting calibration signal of 500[pC] after measuring signals in IJB, the S/N ratio had about 25[dB] acquisition.
-
This study performs a simulation for an accumulation mechanism of contaminants, which were produced in an industrial belt of inland, on the surface of insulators. From the simulation, silicon insulators presented higher accumulation than that of EPDM(Ethylene Propylene Diene Terpolymer : EPDM) insulators on the same distance in the case of the Virgin polymer insulator, and this result presented the same result in the insulator applied in actual fields. In the case of the accumulation test for the Virgin insulator and insulators used in actual fields, it is evident that the Virgin insulator presented more accumulation than that of the insulator used in actual fields. The results can be caused by the generation of LMW (Low Molecular Weight) on the external material of polymer insulators, and the level of the accumulation can be changed according to the degree of the continuous generation of LMW.
-
In this work, recovery of semiconductive silicone rubber on oxygen plasma treatment was investigated in terms of X-ray photoelectron spectroscopy(XPS). The adhesion characteristics of semiconductive-insulating interface layer of silicone rubber were studied by measuring the T-peel strengths. As a result, surface methyl groups is removed and an oxidized layer containing Si atoms bound to 3 or 4 oxygens appears. The surface is later covered by a very thin layer due to migration of low-molecular-weight components from the bulk, resulting in decreasing the degree of adhesion of the semiconductive-insulating interface layer of silicone rubber these results are probably due to reorientation of polar groups or migration of low-molecular-weight.
-
Bae, Duck-Kweon;Kim, Chung-Hyeok;Oh, Yong-Cheul;Kim, Jin-Sa;Shin, Cheol-Gee;Song, Min-Jong;Lee, Joon-Ung 149
According to the trend for electric power equipment of high capacity and reduction of its size, the needs for the new high performance electric equipments become more and more important. On of the possible solution is high temperature superconducting (HTS) power application. Following the successful development of practical HTS wires, there have been renewed activities in developing superconducting power equipment. HTS equipments have to be operated in a coolant such as liquid nitrogen ($LN_2$ ) or cooled by conduction-cooling method such as using Gifford-McMahon (G-M) cryocooler to maintain the temperature below critical level. In this paper, the dielectric strength of unfilled epoxy and filled epoxy in$LN_2$ was analyzed. The filled epoxy composite not only compensates for this fragile property but enhances its dielectric strength. -
Both
$Al_2O_3$ and AlN nanopowders with diameters from${\mu}m$ to mm were bead-milled and surface-modified by stabilizing agent. The size of bead-milled nanoparticles compared with the primary powder was effectively decreased and was dependent on milling time and bead size. The results of dispersion stability analysis indicated that chemical bonding between nanoparticles and surfactant is more effective than chemical adsorption to prepare the stable transformer oils containing nanoparticles. In this study, the thermal conductivity of the transformer oils containing nanoparticles was measured by transient hot-wire and laser flash methods. -
A high Tc superconducting with a nominal composition of
$Bi_2Sr_2Ca_2Cu_3O_Y$ was prepared by the citarte method. The solid precursor produced by the dehydration of the gel at 120$^{\circ}C$ for 12h is not in the amorphous state as expected but in a crystalline state. X-ray diffraction peaks of nearly the same angular position as the peaks of high Tc phase were observed in the precursor. After pyrolysis at 400$^{\circ}C$ and calcination at 840$^{\circ}C$ for 4h, the (001)peak of the high Tc phase was cleary observed. Experimental results suggest that the intermediate phase formed before the formation of the superconducting phase may be the most important factro in determining whether it is easy to form the high Tc phase or not, because the nucleation barriers of the two superconducting phase may be altered by the variation of the crystal structures of those intermediate phase. -
Cho, Yong-Sun;Park, Hyoung-Min;Nam, Gueng-Hyun;Lee, Na-Young;Choi, Hyo-Sang;Park, Chung-Ryul;Lim, Sung-Hun 155
We investigated the quench characteristics of the flux-lock type superconducting fault current limiter (SFCL) integrated three-phase according to fault types such as the single-line-to-ground fault, the double-line-to-ground fault and the three-line-to-ground. The structure of integrated three-phase flux-lock type SFCL consists of single core which have three-phase flux-lock reactors. The superconducting elements connected sound phase as well as fault phase happened to quenching. Therefore we conformed that the superconducting elements were dependent. -
Lee, Na-Young;Choi, Hyo-Sang;Park, Hyoung-Min;Cho, Yong-Sun;Nam, Gueng-Hyun;Lim, Sung-Hun;Park, Chung-Ryul 157
Superconducting fault currents(SFCLs) are expected to improve not only reliability but also stability of real power systems. The analysis on the single line-to-ground fault of the integrated three phase flux-lock type SFCL, which consists of three flux-lock reactor wound on an iron core in each single phase and three YBCO thin films, was investigated in current limiting operation characteristics. We compared 21turn numbers with 42turn numbers according to wound turn numbers each the coil 2 under the additive polarity winding operation between coil 1 and coil 2. The three phase flux-lock type SFCL using an iron core differently operates general three phase resistive SFCLs. When a single line-to-ground fault occurred, the SFCL's three units were quenched after fault onset. We confirmed effective current limiting operation characteristics with adjustable inductance level. -
Nam, Gueng-Hyun;Choi, Hyo-Sang;Park, Hyoung-Min;Cho, Yong-Sun;Lee, Na-Young;Lim, Sung-Hun;Park, Chung-Ryul 159
The superconducting fault current limiter(SFCLs) provides the effect such as enhancement in the power system reliability due to limiting fault current in a few miliseconds. The Flux-lock type SFCL using the YBCO film among various type SFCLs consists of the primary and the secondary copper coils that are wound in parallel each other through the iron core. The operation can be controlled by adjusting the inductances and the winging directions of each the coil. We compared the current limiting performance on the additive and the subtractive polarity winding directions in case of an open-loop iron core. To analyze quench characteristics, we experimented various phase angle. -
Kim, T.H.;Oh, S.S.;Ha, D.W.;Kim, H.S.;Ko, R.K.;Song, K.J.;Ha, H.S.;Yang, J.S.;Park, Y.M.;Oh, J.K.;Jung, K.D. 161
2G HTS coated conductor wire consists of textured substrate, buffer layer, superconduct layer, Ag cap layer, stabilizer. For practical application filed, coated conductor have mechanical and electrical stability and environment protection properties. This property Cu and stainless steel strip is laminated to Ag cap layer as stabilizer materials. Lamination process join stabilizer material strip and Ag cap layer with soldering method. we have laminated HTS with continuous dipping soldering process different stabilizer Cu and stainless steel strip and changed lamination process condition. The effect of lamination stabilizer and process condition has been investigated mechanical and electrical properties. -
Kim, Sang-Cheol;Ha, Dong-Woo;Oh, Sang-Soo;Oh, Jae-Geun;Song, Kyu-Jeong;Ha, Hong-Soo;Sohn, Ho-Sang 163
Round shape Bi2212/Ag is isotropic and can be fabricated Rutherford cable to transport high current. Bi2212/Ag round wires with various Ag ratio were fabricated using powder-in-tube process. Double stacked 385 (55\times$ 7) filamentary wire of various wire diameter was heat-treated at various melting temperature. Wires which have Ag ratio of 0.3 and 0.42 of Ag tube for monofilament show similar critical current density. As average filament diameter decreases from 33 to 16\mu$ m, critical current density of wires increase, and in case of 16${\mu}m$ and$T_m$ 890$^{\circ}C$ , critical current density was 2,062$A/mm^2$ at 4.2 K, 0 T. -
Park, Chung-Ryul;Lim, Sung-Hun;Park, Hyoung-Min;Cho, Yong-Sun;Choi, Hyo-Sang;Han, Byoung-Sung 165
In this paper, we investigated the quench generation of HTSC elements in fault types according to inductance variation in the integrated three-phase flux-lock type SFCL. The integrated three-phase flux-lock type SFCL was the upgrade version of the single-phase flux-lock type SFCL. The structure of the integrated three-phase flux-lock type SFCL consisted of three-phase flux-lock reactor wound on an iron core with the ratio of the same turn between coil 1 and coil 2 in each phase. When the SFCL is operated under the normal condition, the flux generated in the iron core is zero because the flux generated between two coils of each single phase is canceled out. Therefore, the SFCL's impedance is zero, and the SFCL has negligible influence on the power system. However, if a fault occurs in any single-phase among three phases, the flux generated in the iron core is not zero any more. The flux makes HTSC elements of all phases quench irrespective of the fault type, which reduces the current of fault phase as well as the current of sound phase. It was observed that the fault current limiting characteristics of the suggested SFCL were dependent on the quench characteristics of HTSC elements in all three phases. -
Lim, Sung-Hun;Park, Chung-Ryul;Han, Byoung-Sung;Park, Hyoung-Min;Cho, Yong-Sun;Choi, Hyo-Sang 167
The operational characteristics of the integrated three-phase flux-lock type superconducting fault current limiter (SFCL) were analyzed. The suggested three-phase SFCL consisted of a three-phase flux-lock reactor and three high-Tc superconducting (HTSC) elements. The former has three windings wound on an iron core, each of which has the same turn's ratio between coil 1 and coil 2. The latter are connected in series with coil 2 of each phase. The integrated three-phase flux-lock type SFCL showed the operational characteristics that the fault phase could affect the sound phase, which resulted in quenching the HTSC element in the sound phase. Through the computer simulation applying numerical analysis for its three-phase equivalent circuit, the fault current limiting characteristics of the integrated three-phase flux-lock type SFCL according to the ground fault types were compared. -
Paik, Jong-Hoo;Kim, Chang-Il;Lim, Eun-Kyeong;Lee, Mi-Jae;Ji, Mi-Jeong;Choi, Byung-Hyun;Kim, Sei-Ki 169
본 연구에서는 초음파 센서에 응용 가능한$0.4Pb(Ni_{1/3}Nb_{2/3})O_3-0.6Pb(Zr_xTi_{1-x})O_3+0.5Wt%$ $MnO_2$ 세라믹스에 Zr/(Ti+Zr)비를 0.37에서 0.41로 변화시킨 조성을 1175$\sim$ 1200$^{\circ}C$ 온도에서 소결하여 이의 결정구조 및 미세조직을 분석하였고, 압전, 유전 특성을 고찰하였다. 본조성에서 x=0.385 조성에서 최대 유전상수 값 3490 이 나타났으며, 그 이상의 첨가에서는 감소하였다. 상경계 영역인 x=0.385 조성에서$\varepsilon$ r,$K_p$ ,$d_{33}$ 값이 최대값을 나타내었다.$0.4Pb(Ni_{1/3}Nb_{2/3})O_3-0.6Pb(Zr_xTi_{1-x})O_3+0.5Wt%$ $MnO_2$ , 세라믹스에서는 kp 와$d_{33}$ 는 Zr/(Ti+Zr)비 0.385조성까지 증가하였다가 그 이상 조성에서 감소하였다.$1175^{\circ}C$ 에서 2시간 소결한 x=0.385조성에서$\varepsilon$ r=3490, kp=0.71, Qm=476의 우수한 압전 특성을 나타내었다. -
Suk, Jong-Min;Lee, Moon-Seok;Lee, Yong-Hyun;Hur, Geun;Choi, Chal-Hee;Lee, Young-Hwan;Cho, Jeong-Ho;Kim, Byung-Ik;Ko, Tae-Gyung 171
PSNZT계 압전 세라믹스는 압전 특성을 개량하고, 여러 가지 응용 분야의 요구를 만족할 특성을 얻기 위해 Mn을 포함하는 첨가물에 관한 연구를 하였다. Mn을 포함하는 산화물은 기계적 품질계수($Q_m$ )를 높이는 강화제로 널리 활용되고 있으며,$Mn^{4+}$ 를 갖는$MnO_2$ 가 가장 많이 사용되고 있다. 산화물에서 Mn 전자가는 여러 상태 인데, 이런 전자가의 변화가 압전 특성에 미치는 영향을 조사하였다. Mn 전자가에 따라서 소결체의 미세구조는$MnCO_3$ 와$Mn_3O_4$ 경우 입자크기가 10${\mu}m$ 정도였으며,$Mn_2O_3$ 와$MnO_2$ 의 입자크기는$1\sim5{\mu}m$ 정도로 불규칙하였다. 전반적으로 소결체는 밀도가$7.75g/cm^2$ 이상이었고, 치밀하였다.$MnCO_3$ 경우 전기기계 결합계수는 56%이고,$MnO_2$ 경우 기계적 품질계수는 2000이상이었다. -
The effects of PCB Board on the filter characteristics such as insertion loss and transmission characteristics were investigated for the measurement of 5GHz LC filter. Insertion loss measured with PCB Board of Teflon is 0.3 dB lower in comparion with FR-4. The filter characteristics measured in the passband were different from the calculated results. In comparison with the calculated value, the measured value shows that center frequency is 20MHz lower, passband is narrower and insertion loss is larger. The difference of Insertion loss between Teflon and FR-4 increases with increasing measurement frequency.
-
Chung, Kwang-Hyun;Yoo, Kyung-Jin;Lee, Sang-Ho;Lee, Chang-Bae;Yoo, Ju-Hyun;Jeong, Yeong-Ho;Lee, Duck-Chool 176
In this paper, in order to develop low temperature sintering$PbTiO_3$ -system piezoelectric ceramics for thickness-vibration-mode piezoelectric transformer,$Pb_{0.76}Ca_{0.24}[(Mn_{1/3}Sb_{2/3})_{0.04}Ti_{0.96}]O_3$ ceramics using$0.25wt%CaCO_3$ and 0.2wt%$Li_2CO_3$ as sintering aids were manufactured according to the variation of poling field. Specimens could be sintered at the sintering temperature of$930^{\circ}C$ . The piezoelectric properties increased according to the increase of poling field and showed the maximum values (kt=0.49, Qmt=1816, and$d_{33}$ =81.4pC/N) under 6.5kV/mm. -
In this study, in order to develop multilayer low temperature sintering piezoelectric transformer,
$Pb_{0.97}Sr_{0.03}[(Mn_{1/3}Nb_{2/3})x(Zr_{0.48}Ti_{0.52})_{1-x}O_3]+$ 0.25wt%$CeO_2$ +0.3 wt%$Nb_2O_5$ system ceramics were fabricated using$Li_2CO_3-CaCO_3$ and CuO as sintering aids and their piezoelectric and dielectric characteristics were investigated with the amount of PMN substitution. With increasing PMN substitution, electromechanical coupling factor kp and dielectric constant were increased. -
In this study, in order to develop multilayer piezoelectric transformer, PMN-PZT ceramics were fabricated according to PFW substitution using
$Li_2CO_3-CaCO_3$ as sintering aids and their dielectric and piezoelectric characteristics were investigated. As increasing the amount of PFW substitution, density was slightly increased due to the increased sinterability. At the 1[mol%] PFW substituted PMN-PZT ceramic sintered at 950[$^{\circ}C$ ], density, dielectric constant$\varepsilon_r$ , electromechanical coupling factor kp, mechanical quality factor Qm and piezoelectric$d_{33}$ constant showed the optimum value of 7.761[$g/cm^3$ ], 1251, 0.479, 1425 and 282[pC/N], respectively. -
In this study, our varistors based on M.Matsuoke's composition were fabricated with ZnO nano-powder whose sizes were 50nm and 100nm. Electrical properties of ZnO nano-powder varistors were obtained by capacitance-voltage and frequency-real impedance. nano-powder varistors are indicated the change of the interface defects density
$N_t$ at the grain boundaries and the donor concentration$N_d$ in the ZnO grains. Frequency analysis was accomplished to understand the equivalent circuit. -
저온소결 Glass/Ceramic계 기판재료 조성으로
$Al_2O_3$ ,$SiO_2$ , Cordierite,$Al_2O_3{\cdot}3SiO_2$ 의 4가지 filler에 zinc-borosilicate(ZBS) glass를 첨가하여 기판재료로의 사용가능성을 조사하였다. 4가지 filer에 ZBS glass를 30$\sim$ 50vol%첨가하여$700\sim950^{\circ}C$ 에서 2시간 소결한 결과 40, 50vol%첨가 했을 때 900$^{\circ}C$ 에서 치밀한 소결체를 얻을 수 있었다. LSI칩 신호라인의 빠른 신호전달에 직접적인 영향을 주는 유전율은 기존의$Al_2O_3$ 기관($\fallingdotseq$ 9.7)보다 저유전율 ($900^{\circ}C$ 에서$Al_2O_3$ -50vol%ZBS 5.7,$SiO_2$ -50vol%ZBS 5.9, Cordierite-40vo1%ZBS 5.9,$Al_2O_3${\cdot}3SiO_2$ -50vol%ZBS 4.9)을 나타내어 저온소결 기판재료로 사용이 가능함을 확인하였다. -
In this paper, big free standing metal structures for electrostatic MEMS switches are easily fabricated using photoresist sacrificial layer. The entire process sequence, through the removal of the sacrificial layer, is kept below 150
$^{\circ}C$ to avoid curing problem of photoresist sacrificial layer. Metal structure is fabricated by thermal evaporator and a self test electrode is fabricated underlying metal suspended structure for testing by electrostatic force. The new wet release process is considered using methanol rinse, general wet release process cause stiction problem by capillary force during drying, and the yield is dramatically improved than previous wet release process using DI water rinse. The fabrication becomes much simpler and cheaper with use of a photoresist sacrificial layer. -
본 논문에서는 카메라폰용 광학줌(Optical zooming)과 자동초점조절장치(Auto Focusing,AF)에 쓰일 초음파모터를 제작하였다. 초음파모터의 제작 및 시뮬레이션은 유한요소해석 프로그램인 ATILA 5.2 1(Magsoft co.)를 사용하여 디자인설계에 따른 구동특성을 고찰하였고 제작된 초음파모터는 한쪽 면이 없는 사작형의 탄성체를 제작하였으며 탄성체의 양쪽 다리에 각각 압전체를 부착하였다 또한 압전세라익의 조성은 0.9Pb
$(Zr_{0.51}Ti_{0.49})O_3$ - 0.1Pb$(Mn_{1/3}Nb_{1/3}Sb_{1/3})O_3$ 의 조성으로 설계하였고 시편의 제조는 7-layer로 적층하였다. 제작된 압전세라믹의 치수는 6*2*0.35mm$^3$ (길이*폭*두께)로 제작하였다. 또한 탄성체의 외형치수는 8*4*2mm$^3$ 로 제작하였으며 회전축의 지름은 2mm로 제작하였다. 인가전압과 공진주파수가 각각 20Vpp, 64kHz일 때 소비전력은 0.3[W]이며 회전속도는 500rpm 으로 측정되었다. -
In this study, the ultrasonic motor which has hollowed cross type stator was designed, and the elastic body of ultrasonic motor was optimized by using a finite element analysis program(ANSYS 9.0). When the length of leg(L) of the elastic body was increased and the width of piezoceramics was decreased, the resonant frequency was increased and the displacement of contact point between the rotor and the stator was increased. However, when the length of the leg was over the 1/3 point of the width of ceramics, the displacement of the contact point was decreased, because the elastic buckle was generated in the leg.
-
[
$0.96[Bi_{0.5}(Na_{0.84}K_{0.16})_{0.5}TiO_3]+0.04SrTiO_3+0.3wt%Nb_2O_5+0.2wt%La_2O_3+xwt%ZnO$ ], were studied in order to develope the superior piezoelectric properties of Lead-free piezoelectric ceramics. With increasing amount of ZnO addition, density showed the maximum value of 5.79(g/$cm^3$ ) at 0wt% ZnO addition, and electromechanical coupling factor($k_p$ ) and dielectric constant decreased, and mechanical quality factor($Q_m$ ) increased and showed the maximum value of 280 at 0.4wt% ZnO addition. -
The
$ZnNb_2O_6$ ceramics with 3wt% CuO and$B_2O_2$ (1,3,5wt%) were prepared by the conventional mixed oxide method. The ceramics were sintered at the temperature of$1000^{\circ}C\sim1050^{\circ}C$ for 3hr. in air. The structural properties were investigated with sintering temperature by XRD and SEM. Also, the microwave dielectric properties were investigated with sintering temperature. Increasing the sintering temperature, the peak of second phase ($Cu_3Nb_2O_8$ ) was increased. But no significant difference was observed as sintering temperature. In the$ZnNb_2O_6$ ceramics with 3wt% CuO and 5wt%$B_2O_3$ sintered at$1025^{\circ}C$ for 3hr, the dielectric constant, quality factor, temperature coefficient of the resonant frequency were 22.92, 20,271GHz, -14.27ppm/$^{\circ}C$ , respectively. -
Conformal mapping based models are given for interdigital capacitors on substrates with a thin superstrate and covering dielectric thin film. This model is useful for a wide range of dielectric constant and layer thickness. Interdigital capacitors with finger numbers n>3 are discussed.
-
PFerroelectric PZT heterolayered thick films were fabricated by the alkoxide-based sol-gel method. PZT(40/60) and PZT(60/40) paste were made and alternately screen-printed on the
$Al_2O_3$ substrates. We have introduced a press-treatment to obtain a good densification of screen printed films. The porosity of the thick films were decreased with increasing the applied pressure and the thick films pressed at 0.6 ton/$cm^2$ showed the dense microstructure and thickness of about 76${\mu}m$ . The remanent polarization and coercive field increased with increasing applied pressure and the values for the PZT thick films pressed at 0.6 ton/$cm^2$ were 17.04${\mu}Ccm^2$ , 78.09 kV/cm, respectively. -
To recognize whether admixture affects some
$(Ba_{0.6}Sr_{0.4})TiO_3$ , powder in this research$Li_2CO_3$ , MgO,$MnO_2$ adding each 3 wt % by Tape casting method thick film make. Sitering temperature lowered 1300$^{\circ}C$ adding$Li_2CO_3$ , and density is 5.942g/$cm^3$ , and specific inductive capacity increases about decuple and displayed 4000. Climbed sitering temperature 1400$^{\circ}C$ adding MgO, specific inductive capacity reduced 1/2 times. Lowered sintering temperature 1325$^{\circ}C$ low adding$MnO_2$ . -
[
$(Na_{0.5},\;K_{0.5})NbO_3$ ] ceramics were studied as lead free Piezoelectric materials. The addition of CdO were enhanced the sinterability of the NKN ceramics. The$(Na_{0.5},\;K_{0.47}Cd_{0.02})NbO_3$ ceramics show that electromachanical coupling factor($k_p$ ) is 0.34and mechanical quality factor($O_m$ ) is 120. -
Display 소재로서 유전체나 격벽재 실링재로 사용되고 있는 frit는 PbO를 주성분으로 갖는 유리가 사용되고 있다. PbO 성분이 함유된(50
$\sim$ 85%) 구성소재는 최근 RoHS 나 WEEE 등의 환경규제 실행에 직면해 있으며, 대체재료의 개발을 위한 많은 연구가 진행되고 있다 PbO 성분을 대체할 성분으로는$Bi_2O_3$ 계, BaO-ZnO 계,$P_2O_5$ 계 등의 성분이 주요성분으로 이루어져 있으며, PbO 성분을 함유한 유리의 저융점, 저유전율, 고 투과율, 내산성, 내전압, 팽창계수 matching 등의 특성들에 부합되는 재료를 개발하기 위해 많은 노력을 기울이고 있다. 본 연구에서는 SnO-$P_2O_5$ 계 유리 조성을 선택하여$R_2O_3$ 의 치환 및 용융분위기의 조절에 따른 저융점 유리로서의 특성과 효과에 대하여 고찰하였다. -
Choi, Jong-Bum;Jo, Jung-Ho;Lee, Yong-Hyun;Choi, Byung-Yul;Lee, Moon-Seok;Kim, Byung-Ik;Shin, Dong-Wook 208
바나듐 산화물은 반도성-금속성으로 상전이 하는 CTR특성의 대표적인 산화물로 상전이 온도인 68$^{\circ}C$ 에서 저항의 급변 특성을 보인다. 여기에 Fe, Ni, Mo, Ti, W과 같은 금속성 산화물을 첨가함에 따라 상전이온도를 움직일 수 있다. 그중$WO_3$ 를 첨가함으로써 상전이온도를 상온까지 낮출 수 있다. Inorgnic sol-gel 법에 의해 바나듐-텅스텐 sol을 제조 하였으며, 제조된 sol을 기판에 코팅한 후 환원분위기에서 열처리 하여 막을 얻었다. 온도-저항 특성 측정 결과 순수 바나듐 막은 상전이 온도는 68$^{\circ}C$ 전기저항 감소폭은$10^4$ order 이였으나 바나듐-텅스텐막의 상전이 온도는 38$^{\circ}C$ , 전기저항 감소폭은$10_{15}$ order 로 감소함을 확인 하였다. -
Lee, Moon-Seok;Lee, Yong-Hyun;Bang, Je-Myong;Suk, Jong-Min;Choi, Jong-Bum;Cho, Jeong-Ho;Kim, Byung-Ik;Shim, Kwang-Bo 210
[$(K_{0.5}Na_{0.5})NbO_3$ ](KNN) 세라믹스의 소결 특성과 압전 특성을 높이기 위해 B-site에 Sb를 치환하여 Sb함량에 따른 특성을 측정 하였다. Sb 의 함량을 0mol$\sim$ 0.1mol 까지 첨가한 결과 소결 밀도는 Sb의 첨가량이 많아질수록 증가하다 Sb-0.08mol에서 4.40g/$cm^3$ 으로 가장 높은 밀도를 가졌으며, 여기서의 전기기계 결합 계수가(Kp) 0.45로 높은 값을 나타내었다. 상전 이 온도는 375$^{\circ}C$ 로 순수한 KNN 의 420$^{\circ}C$ 보다 약 45$^{\circ}C$ 정도 떨어졌으나 orthorhombic에 서 tetragonal 로 바뀌는 전이 온도는 KNN이 220$^{\circ}C$ , KNNS 가 225$^{\circ}C$ 로 크게 변하지 않았다. -
In this study, in order to develop low temperature sintering piezoelectric actuator,
$Pb_{0.985}Bi_{0.01}(Mg_{1/2}W_{1/2})_{0.03}(Ni_{1/3}Nb_{2/3})_{0.13}(Zr_{0.50},Ti_{0.50})_{0.84}$ (PMW-PNN-PZT) ceramic systems were fabricated using$CaCO_3-Li_2CO_3$ , sintering aid through a post-annealing process. The sinterability of PMW-PNN-PZT ceranics was remarkably enhanced by liquid phase sintering of$CaCO_3$ and$Li_2CO_3$ . But, it was confimed form the X-ray diffraction pattern that the secondary phase along grain boundaries, deteriorated the piezoelectric properties. The secondary phase along grain boundaries was significantly removed by annealing after sintering. The 0.2wt%$Li_2CO_3$ -0.25wt%$CaCO_3$ -added PMW-PNN-PZT ceramics post-annealed at 900$^{\circ}C$ for 90min exhibited the excellent electromechanical coupling factor($k_p$ ) of 63.3% and piezoelectric constant($d_{33}$ ) of 452pC/N, respectively, for multilayer piezoelectricactuatorapplication. -
In this paper, in order to develop low temperature sintering piezoelectric ceramics for piezoelectric transformer, PMW-PMN-PZT ceramics using 0.25wt%
$CaCO_3$ and 0.2wt%$Li_2CO_3$ as sintering aids were fabricated according to the variation of amount of PMW and Zr/Ti ratio. Specimens could be sintered at 900$^{\circ}C$ by adding sintering aids. As Zr/Ti ratio was increased, kp was increased and Qm was decreased by approaching MPB region, and kp showed the largest value of 0.58 at Zr/Ti:50/50. The variation of amount of PMN substitution affected the liquid phase sintering of the ceramics using sintering aids, and decreased piezoelectric properties. -
In this paper, in order to develop Pb-free piezoelectric ceramics,
$(Li_{0.05}Na_{0.57}K_{0.38})NbO_3$ ceramic was fabricated with the variation of$Bi_2O_3$ addition. Piezoelectric properties of the ceramic were varied with the amount of$Bi_2O_3$ addition and showed the maximum kp value at.0.2 wt%$Bi_2O_3$ addition. Qm of$Bi_2O_3$ added ceramics showed lower values than the non-added ceramics, however, the kp was increased by the addition of$Bi_2O_3$ up to 0.2 wt%. At the sintering temperature of 1110$^{\circ}C$ and the calcination temperature of 850$^{\circ}C$ , the optimal values of density=4.52g/$cm^3$ , kp=0.47,$\varepsilon_r$ =400 were obtained. -
In this paper, in order to develop Pb-free piezoelectric ceramics,
$[Li_{0.04}(Na_{0.44}K_{0.52})-(Nb_{0.86}Ta_{0.10}Sb_{0.04})]O_3$ ceramic was fabricated with the variation of ZnO addition. Piezoelectric properties of the ceramic were varied with the amount of ZnO addition and showed the maximum kp value at 0.2 wt% ZnO addition. Qm of ZnO added ceramics showed lower values than the non-added ceramics, however, the kp was increased by the addition of ZnO up to 0.2 wt%. At the sintering temperature of 1110$^{\circ}C$ and the calcination temperature of 850$^{\circ}C$ , the optimal values of density=473g/$cm^3$ , kp=0.473,$\varepsilon_r$ =1403 were obtained. -
We studied the effect of composition, processing, and sintering temperature on the microwave properties of
$Mg_{3-x}Co_x(VO_4)_2$ system which is applicable to LTCC. When$Mg_{3-x}Co_x(VO_4)_2$ was fabricated by solid-state reaction process and sintered at the temperature range of$800\sim910^{\circ}C$ , it was found that the optimum composition of x was 2 at which microwave properties of 910$^{\circ}C$ -sintered one were as follows:$Q\times f_0\sim55,200GHz$ and$\varepsilon_r\sim10$ . When$(MgCo_2)(VO_4)_2$ was fabricated by sol-gel process and sintered at 800$^{\circ}C$ ,$Q\timesf_0$ was 34,400GHz which is much high compared to those fabricated by solid-state reaction process at the same sintering temperature. -
The microstrip patch antenna with PVDF (poly vinylidene fluoride) substrate, were experimentally studied at frequency 6 GHz. During the design of the essential elements of microstrip antenna, EM simulation tool Ensemble V 7.0 is used. We observed the resonant frequency by DC appled electric field in a microstrip patch antenna. This research has been made as an electronically tunable microstrip antenna, taking advantage of the voltage control dielectric substrate and piezoelectric properties substrate. We discuss the effect of substrates, electric field and piezoelectric phenomena in the PVDF microstrip antenna. The antenna frequency can be changed by varying the applied dc voltage. In this paper, we propose, a new technique to agile frequency of the microstrip antenna by using the PVDF piezoelectric substrate.
-
In this study, a step-down piezoelectric transformer was fabricated to utilize as an adapter for charging batteries of mobile electronic appliances. The ceramic part of the transformer is
$Pb[(Mn_{1/3}Sb_{2/3})_{0.05}Zr_{0.475}Ti_{0.475}]O_3$ with mechanical quality factor of 1600, electromechanical coupling coefficient 59 %, and piezoelectric constant d33 1300, which can be utilized as a piezoelectric transformer. A simply fabricated disk-typed test pattern of diameter 28 mm and thickness 2 mm was used to characterize resonant frequency, Qm, kp according to the different input/output electrode area. efficiency and power as a function of load resistance was also investigated. The sample APT showed some spurious mode and BPT showed better frequency property. Taking all properties which are admittance, effective electromechanical coupling coefficient and mechanical quality factor most suitable for piezoelectric transformer is BPT which has 12 mm diameter electrode and the condition of 15 Vrms, 30$\Omega$ made the maximum efficiency of 93.7 % and maximum power is 6W with 50 Vrms. -
본 논문은 광 활성도가 가장 좋은 아나타제(anatase) 상의 광촉매
$TiO_2$ 분말을 상온에서 aerosol deposition 법을 사용하여 박막을 제조하였다. 이런 제조 방법은 aerosol 분말을 초음속으로 분사하여 기판에 증착시키는 방법으로, 저온에서 박막 증착이 가능하여 thermal stress를 줄일 수 있고, 공정 단가를 낮출 수 있다는 장점이 있다. 박막 제조시 aerosol bath의 압력은 500 torr이고, chamber의 압력은 0.4 torr였다. 이런 압력차는 0.4mm$\times$ 10mm의 크기의 노즐을 통해$TiO_2$ 나노 분말을 초음속으로 가속하여 기판에 증착시켰다. 박막 제조를 위해 사용한 기판은 수질정화에 응용하기 위해 직경 50mm인 원판 SUS 멤브레인을 사용하였다. SUS 멤브레인 위에 증착되어 있는$TiO_2$ 박막의 입자 크기와 조성을 알아보기 위해 주사 현미경 (SEM) 및 EDX 분석을 하였고, l$\mu$ m 정도의 입자 크기와 수처리 후에도 표면에 증착 되어진 anatase 상의$TiO_2$ 박막을 확인할 수 있었다. -
In this paper, disk-type ultrasonic motor using a combination of radial and bending vibration modes is newly designed and fabricated. The characteristics of the test motor are also measured. By means of travelingelastic wave induced at the surface of circumference of the elastic disk, a steel bar in contact with the surface of circumference of elastic disk bonded onto the piezoelectric ceramic disks is driven inboth directions by changing the sine and cosine voltage inputs. The stator of the motor is composed of two sheets of piezoelectric ceramic disk to bond onto both surfaces of a elastic disk, respectively. As the results, the diameter of elastic body is increased, the resonant frequency is decreased. The resonant frequency of the stator is about 92 kHz, which is composed with piezoelectric ceramic disks of 28 mm in diameter and 2 mm in thickness, and an elastic body of 32 mm in diameter and 2 mm in thickness. A driving voltage of 20 Vpp produces 200 rpm with a torque of 1Nm and an efficiency of about 10 %.
-
This paper present a new disk-type piezoelectric transformer. The input side of the transformer has a crescent-shaped electrode and the output side has a focused poling direction. The piezoelectric transformers operated in each transformer's resonance vibration mode. The electrodes and poling directions on commercially available piezoelectric ceramic disks were designed so that the planar or shear mode coupling factor (
$k_p,\;k_{15}$ ) becomes effective rather than the transverse mode coupling factor ($k_{31}$ ). -
The structural properties of
$(Bi,Ce)_4Ti_3O_{12}(BCT)$ thin films with post-annealing temperature were investigated.$(Bi,Ce)_4Ti_3O_{12}(BCT)$ thin films were deposited by RF sputtering method on Pt/Ti/$SiO_2$ /Si substrates with optimum deposition condition. The$(Bi,Ce)_4Ti_3O_{12}(BCT)$ thin films was post-annealed at 600$^{\circ}C$ , 650$^{\circ}C$ , 700$^{\circ}C$ , 750$^{\circ}C$ , 800$^{\circ}C$ in furnace,respectively. Increasing the post-annealing temperature, the grain size, density and peak intensity of (117) and c-axis orientation were increased. The$(Bi,Ce)_4Ti_3O_{12}(BCT)$ thin films that annealed at 750$^{\circ}C$ exhibited well crystallized phase and had no vacancy and grain was uniform. but there are some secondary phases observed. At this time, the average thickness of$(Bi,Ce)_4Ti_3O_{12}(BCT)$ thin films was 2000${\AA}$ . -
The effects of
$Li_2CO_3$ addition on the sintering behavior of$Ba_{0.5}Sr_{0.5}TiO_3$ ceramic have been investigated. The amount of$Li_2CO_3$ was varied from 1 wt% to 5 wt%. The crystalline and dielectric properties were investigated through X-ray diffraction and frequency dependent permittivity, respectively. -
비 직선적 정(+) 저항온도계수 특성을 갖는 PTC thermistor는 전이온도(큐리점) 부근에서 온도변화에 대하여 극히 큰 저항 값의 변화를 나타내는 산화물계반도체 저항기(또는 발열체)로써, 일반적으로 반도체의 온도-저항 특성과 같이 상온영역에서 온도의 상승과 함께 부성저항 특성을 나타내다가 온도가 점점 증가하여 큐리점 부근에 도달하면 저항이 급격히 증가하는 독특한 특성을 갖는다. Perovskite 구조의
$BaTiO_3$ 를 주성분으로 미량의 Dopant를 첨가하여 도전성을 갖게 한 N형 반도체의 일종으로 저항-온도 특성 전류-전압 특성, 전류감쇄 특성 등을 이용하여 과전류 보호회로, 히터, TV 소자회로(degausser), 모터기동회로, 온도센서, 정온발열기기 등으로 널리 사용된다. 본 연구는 큐리점 부근의 급격한 저항변화 현상과 결정입계의 전위장벽 형성 및 그에 따른 정온발열 기능의 상관성으로부터 그 응용성을 조사하였다. -
In this study, (La,Sr)
$MnO_{3+\delta}$ powder used cathode material for SOFC was synthesized with precursor by GNP and the properties of powder, crystal phase, electric properties and deoxidization properties with precursor were investigated. The synthesis powder was prepared when oxidant/fuel mole and pH were 1 and 1, respectively and the synthesis powder was synthesized by GNP method using nitrate solution or oxide solution as precursor. Deoxidization peak of the nitrate solution was appeared lower temperature than the oxide solution, at$450^{\circ}C$ . In this result, synthesis (La,Sr)$MnO_{3+\delta}$ powder using nitrate solution with Mn excess was suitable cathode material for SOFC due to had higher deoxidization properties. Also synthesis (La,Sr)$MnO_{3+\delta}$ powder according to precursor had difference electrical conductivity according to influence sintering density and crystal phase with precursor. Specially, the synthesis method and starting material had effect on deoxidization properties for SOFC. -
This paper presents the performance of BALUN embedded in the LTCC substrate of ZigBee system which is one of the kind of wireless communication. The BALUN is used to make two signal which have 180
$^{\circ}$ phase difference and 3dB power from one input signal. Therefore, this is 3-port network circuit. At the center frequency(915MHz), insertion losses were 3.1dB and 3.4dB, respectively. Also, the phase difference was 182$^{\circ}$ . Its size is 2.1$\times$ 3.6mm. The Used materials were dupont9599 LTCC ceramic and daejuo086 Ag. -
본 연구에서는 활성탄소의 표면 작용기와 전해액, 결합제의 분해반응을 줄여서 내구성을 향상시키기 위해
$H_2$ 기체의 Spill-Over 현상을 이용하여 활성탄소 표면의 작용기를 치환시킨 시료를 사용하여 전극을 구성하였다.$H_2$ 기체로 활성탄소를 700$^{\circ}C$ 에서 열처리한 결과, 원소 분석기 (Elemental Analyzer)를 이용한 원소 분석 시에 산소의 비율이 1.4%로 활성탄소의 2.44%에 비해 감소함을 알 수 있었고, Carbon의 비율이 700$^{\circ}C$ 에서 94.3%로 증가함을 알 수 있었다. 또한, 활성탄소를 사용한 전극을 1.2M TEABF$_4$ /Acetonitrile 전해액을 사용하여 커패시터를 구성 했을 때, 1kHz의 AC저항은 700$^{\circ}C$ 에서 열처리한 활성탄소가 0.58\Omega$ 로 활성탄소의 1.300에 비해 양호한 전기화학 특성을 나타내었다. -
The tungsten bronze type of strontium barium niobate(SBN) thin film was synthesized by metal organic decomposion method for SBN stock solution and the SBN thin film process were deposited by spin-coating process on Pt-deposited si-wafer(100) by magnetron sputtering system. The thickness of SBN thin film was 150
$\sim$ 200 nm and were optimized for rpm of spin-coater system. The structural variation of SBN thin film was studied by TG-DTA and XRD. The deposited SBN stock solution on annealing at$400\sim800^{\circ}C$ a pure tungsten bronze SBN phase and the corresponding average grain size about 500$\sim$ 1000 nm influenced by annealing temperature. -
Tin dioxide (SnO
$_2$ ) thin films have been prepared on Si wafer (100) by Plasma Enhanced Chemical Vapor Deposition (PECVD). SnO$_2$ thin films were prepared from mixtures of dibutyltin diacetate as a precursor, oxygen as an oxidant at 275, 325, 375, 425$^{\circ}C$ , respectively. The microstructure of deposited films was characterized by X-ray diffraction and field emission scanning electron microscopy. Structural characteristics of prepared SnO$_2$ thin films were investigated with different substrate temperature. The deposition rate was linearly increased with substrate temperature. Surface morphology and uniformity of prepared thin film was excellent at 375$^{\circ}C$ and grain size was averagely 25nm. -
The effects of As addition in amorphous selenium (a-Se) films on the carrier mobilities and the recombination lifetime have been studied using the moving photo-carrier grating (MPG) measurements. The electron and hole mobility, and recombination lifetime of a-Se films with arsenic (As) additions up to 1% have been obtained. We have found an increase in hole drift mobility and recombination lifetime, especially when 0.3% As is added into a-Se film, whereas electron mobility decreases with As addition due to the defect density from shallow traps.
-
Kim, Kyung-Jin;Park, Ji-Koon;Kang, Sang-Sik;Cha, Byung-Youl;Cho, Sung-Ho;Sin, Jeong-Uk;Mun, Chi-Ung;Nam, Sang-Hee;Kim, Jin-Yung 254
In this paper, we investigated electrical characteristics of the X-ray detector of mercuric iodide (HgI2) film fabricated by PIB(Particle-in-Binder) Method on ITO substrates 17cm$\times$ 20cm in size with thicknesses ranging from approximately 200${\mu}m$ to 240${\mu}m$ . In the present study, using I-V measurements, their electrical properties such as leakage current, X-ray sensitivity, and signal-to-noise ratio (SNR),were investigated. The results of our study can be useful in the future design and optimization of direct active-matrix flat-panel detectors (AMFPD) for various digital X-ray imaging modalities. -
We fabricated self-assembled monolayers(SAMs) onto quartz crystal microbalance(QCM) using viologen, which has been widely used as electron acceptor and electron transfer mediator. The viologen derivative exist in three redox states, namely. These redox reactions are highly reversible and can be cycled many times without significant side reactions, respectively. We studied the characteristics of charge transfer using different electrolyte solutions by electrochemical quartz crystal microbalance (EQCM). From the data, the redox peak currents were nearly equal charges during redox reaction and existed to an excellent linear interrelation between the scan rates and first redox peak currents. The redox reactions of viologen were highly reversible and the EQCM has been employed to monitor the electrochemically induced adsorption of SAMs during the redox reactions.
-
[
$CuInSe_2$ ] thin films were fabricated at various fabrication conditions (substrate temperature, sputtering pressure, BC/RF power, vapor deposition, heat treatment). And structural and electrical properties were measured in order to certify optimum conditions for growth of the ternary compound semiconductor$CuInSe_2$ thin films with stoichiometric composition.$CuInSe_2$ thin film was well made at the heat treatment of 500[$^{\circ}C$ ] of SLG/Cu/In/Se stacked elemental layer which was prepared by sputter and thermal evaporator, and chemical composition of the thin film was analyzed nearly as the proportion of 1 : 1 : 2. At the same time, carrier concentration, hall mobility and resistivity of the thin films was$1.27\sim9.88\times10^{17}[cm^{-3}]$ ,$49.95\sim185[cm^2/V{\cdot}s]$ and$10^{-1}\sim10^{-2}[\Omega{\cdot}cm]$ , respectively -
The heterolayered thick/thin structure consisting of
$Pb(Zr_{0.52}Ti_{0.48})O_3$ and$BaTiO_3(BT)$ were fabricated by a sol-gel process. PZT powders, prepared by the sol-gel method, were mixed with an organic vehicle and the PZT thick films were fabricated by the screen printing techniques on alumina substrate with Pt electrodes. The microstructural and dielectric characteristics of the stacked heterolayered PZT/BT/PZT films were investigated by varying the number of coating$BaTiO_3$ layers. The existence of a$BaTiO_3$ layer between the PZT thick films of the tri-layer$Pb(Zr_xTi_{1-x})O_3/BaTiO_3/Pb(Zr_xTi_{1-x})O_3$ thick/thin/thick film can greatly improve the leakage current properties of the PZT thick films. The average thickness of a PZT(5248)/$BaTiO_3$ heterolayered thick/thin film was 25$\mu$ m. The relative dielectric constant and dielectric loss of the PZT(5248)/$BaTiO_3$ -3 heterolayered thin film coated three times were 1087 and 1.00% at 1[MHz]. -
Pt counter electrode based on flexible metal for dye-sensitized solar cells(DSCs) has been investigated. Photovoltaic structures on lightweight substrates have several advantages over the heavy glass-based structures in both terrestrial and space applications. Cyclic voltammetry and impedance spectroscopy were used to investigate electrochemical properties of Pt counter electrode both FTO glass and SUS sheet substrate. The DSCs composed of the counter electrode based on a stainless steel substrate has obtained conversion efficiencies comparable to that based on the conducting glass. The counter electrode based on the stainless steel substrate has the merit of improving the fill factor and conversion efficiency of the DSCs by reducing its internal resistance.
-
Manufacture of OLED device used thermal evaporation method. However thermal evaporation method has many defect as thermal damage of substrate, difficult of dopant rate control and low utilization of organic materials. so we suggest PLD(Pulsed Laser Deposition) method that solution of these problems. PLD method has many advantage as without thermal damage, easy indicate of deposition rate per one pulse and good utilization of organic materials. In this paper we apply the PLD method for manufacture of device so we present high efficiency device manufacture using PLD method that has good deposition uniformity, surface rough and deposition rate.
-
Han, Jin-Woo;Kim, Jong-Hwan;Kim, Young-Hwan;Seo, Dae-Shik;Kim, Yong-Hoon;Moon, Dae-Gyu;Han, Jeong-In 267
In this paper, the inorganic-organic thin film encapsulation layer was newly adopted to protect the organic layer from moisture and oxygen. Using the electron beam, Sputter and Spin-Coater system, the various kinds of inorganic and organic thin-films were deposited onto the Ethylene Terephthalate(PET) and their interface properties between organic and inorganic layer were investigated. In this investigation, the SiON and Polyimide(PI) layer showed the most suitable properties. Under these conditions, the WVTR(water vapour transition rate) for PET can be reduced from level of 0.57 g/$m^2$ /day (bare subtrate) to$1{\times}10^{-5}$ /$m^2$ /day after application of a SiON and Polyimide layer. These results indicates that the SiON/PI/SiON/PI/PET barrier coatings have high potential for flexible organic light-emitting diode(OLED) applications. -
A hybrid passivation method using parylene and silicon dioxide combination layer for a flexible organic light emitting diode (FOLED) was applied on a polycarbonate substrate. A parylene coating by vapor polymerization method is a highly effective passivation process for the FOLED, and it applies all top surface and the edges of the FOLED device. In order to minimize the permeation of moisture and oxygen from the top surface of the device, an additional layer of silicon dioxide was deposited over the parylene coated layer. It was found that the water vapor transmittance rate (WVTR) of parylene (15 m-in-thickness) / SiO2 (0.3
$\mu$ m-in-thickness) combination layers deposited on polycarbonate film was decreased under the value of 10-3 g/m2day. The FOLED with the hybrid passivation showed remarkably longer lifetime characteristics in the ambient conditions than the non-passivated FOLED. The lifetime of the passivated FOLED was 400 hours and it was more than ten times over the lifetime of the convectional non-passivated FOLED. -
We studied liquid crystal (LC) alignment with ion beam (IB) on polyimide and electro-optical characteristics of twisted nematic (TN)-liquid crystal display (LCD) on the polyimide surface using obliquely ion beam exposure. A good uniform alignment of the nematic liquid crystal (NLC) alignment with the ion beam exposure on the polyimide surface was observed. In addition, it can be achieved the good EO properties, and residual DC property of the ion-beam-aligned TN-LCD on polyimide surface.
-
Photovoltaic effects in organic solar cell were studied in a cell configuration of ITO/PEDOT:PSS/CuPc(20nm)/
$C_{60}$ (40nm)/BCP/Al(150nm) at room temperature. Here, the BCP layer works as an exciton blocking layer. The exciton blocking layer must transport electrons from the acceptor layer to the metal cathode with minimal increase in the total cell series resistance and should absorb damage during cathode deposition. Therefore, a proper thickness of the exciton blocking layer is required for an optimized photovoltaic cell. Several thicknesses of BCP were made between$C_{60}$ and Al. And we obtained characteristic parameters such as short-circuit current, open-circuit voltage, and power conversion efficiency of the device under the illumination of AM 1.5. -
Yang, Jae-Hoon;Lee, Young-Hwan;Kim, Weong-Jong;Kim, Keui-Yeul;Yeon, Kyu-Ho;Kim, Tae-Wan;Hong, Jin-Woong 275
Organic Light Emitting Diodes(OLEDs) are attractive as alternative display components because of their relative merits of being self-emitting, having large intrinsic viewing angle and fast switching speed. But because of their relatively short history of development, much remains to be studied in terms of their basic device physics and design, manufacturing techniques, stability and so on. We invested electrical properties of N,N-diphenyl-N,N bis (3-methyphenyl)-1,1'-biphenyl-4,4'-diamine(TPD) and tris-8-hydroxyquinoline aluminum($Alq_3$ ) when their thicknesses were changed variedly from 3:7 to 7:3 of their thickness ratios. And we also studied properties of OLEDs depend on their deposition rate between 0.05$\sim$ 0.2 [nm/s]. -
Admittance Spectroscopic analysis was applied to study the effect of LiF buffer layer and to model the equivalent circuit for poly(2-methoxy-5-(2'-ethylhexyloxy)-1,4-phenylenevinylene) (MEH-PPV)-based polymer light emitting diodes (PLEDs) with the LiF cathode buffer layer. The single layer device with ITO/MEH-PPV/Al structure can be modeled as a simple parallel combination of resistor and capacitor. Insertion of a LiF layer at the Al/MEH-PPV interface shifts the highest occupied molecular orbital level and the vacuum level of the MEH-PPV layer as a result the barrier height for electron injection at the Al/MEH-PPV interface is reduced. The admittance spectroscopy measurement of the devices with the LiF cathode buffer layer shows reduction in contact resistance (
$R_c$ ), parallel resistance ($R_p$ ) and increment in parallel capacitance ($C_p$ ). -
We have designed a single gap transflective liquid crystal display (LCD) driven by a fringe electric field and vertical field. The conventional FFS mode does not have an electrode on top substrate, it shows not only slow response time due to weak electric field but also slow discharging problem when electrostatic field is generated after fabricating the cell. To solve these problems, transflective LCD with ITO coated upper substrate was suggested but the transmittance was reduced significantly due to effects from vertical field. Hence, in the present paper, new transflective LCD with ITO coating only in the reflective region was characterized.
-
The AZO thin film was prepared on flexible substrate by Facing Targets Sputtering method. The substrate used the Polycarbonate(PC), thickness 200
$\mu$ m. In particular, the AZO thin film was prepared at room temperature because the substrate is weak in heat. The structural, electrical, optical properties of the AZO thin film were investigated and the surface was observed by microscope. -
Al electrode for OLED was deposited by Facing Targets Sputtering(FTS) system which can reduce the damage of organic layer. The Al thin films were deposited on the slide glass as a function of working gas such as Ar, Kr or mixed gas. The film surface image was observed by AFM and SEM. In the results, when Al thin film were deposited using mixed gas, the surface morphology was improved in some region.
-
Ahn, Joon-Ho;Kim, Ho-Sik;Park, Jae-Joon;Lee, Won-Jae;Jang, Kyung-Uk;Seo, Dae-Sik;Kim, Tae-Wan;Lee, Joon-Ung 285
Organic photovoltaic properties were studied in ZnPc/$C_{60}$ heterojunction structure by varying the organic layer thicknesses and exiton blocking layer(EBL). Current density-voltage characteristics of organic photovoltaic cells were measured using Keithley 236 source-measure unit, a 500W xenon lamp (ORIEL 66021) for a light source and Agilent 4294A impedance analyzer in the range of 40 Hz$\sim$ 1 MHz. From the analyses of current-voltage characteristics such as short-circuit current density, open-circuit voltage and power conversion efficiency, optimum thickness of the organic layer were obtained and frequency response such as electrical conductance. -
In this study, we have investigated electro-optical characteristics of thermal and UV stressed TN cells on the rubbed polyimide surface. Mono-domain alignments of thermal stressed TN cells over temperature of liquid crystal isotropic phase were almost same that of no thermal stressed TN cells. Also, threshold voltage and response time of thermal stressed TN cells were same that of no thermal stressed TN cells. Finally, the residual DC voltage of the thermal stressed TN cell on the polyimide surface show decrease of characteristics as increasing thermal stress time. Therefore, thermal stability of TN cell was decreased by high thermal stress for the long times.
-
We have investigated the electro-optical (EO) performances of the flexible liquid crystal display (LCD) on twisted nematic (TN) mode according to variation of cell gap in comparison with glass LCD. There were four kinds of cells which were having cell gaps of 3
$\mu$ m, 4$\mu$ m and 5$\mu$ m, especially the lowest 2$\mu$ m on flexible and glass substrates separately. The EO performances of the flexible cells on the rubbed potyimide (PI) were almost the same those of glass cells. The response time of flexible cells was shorter than that of glass cells but the alignment of liquid crystal (LC) of flexible cells was weaker than that of glass cells. The residual DC of flexible cells was on the increase like that of glass cells in compliance with lowering cell gap. -
Comparing with conventional STN-LCD, a good electro-optical characteristics were observed on the STN-LCDs of low cell gap using liquid crystals that have large refractive indices. Especially, A stable voltage-transmittance(V-T) curve of the plastic STN-LCD was measured and fast response time characteristics were obtained. We suggested that fast response STN-LCD is promising technique in the flexible display of small size because it has lots of advantages such as low cost, simple manufacture process comparing with other modes.
-
We have investigated dielectric properties depending on frequency in organic light -emitting diodes using 8-hydroxyquinoline aluminum (
$Alq_3$ ) as an electron transport and emissive material. We analyzed the dielectric properties of organic light-emitting diodes using impedance of characteristics. impedance characteristics was measured complex impedance Z and phase$\Theta$ in the frequency range of 40 Hz to$10^8$ Hz. We obtained complex electrical conductivity, dielectric constant, and loss tangent (tan$\delta$ ) of the device at room temperature. From these analyses, we are able to interpret a conduction mechanism and dielectric properties contributed by an interfacial and orientational polarization. -
Poly-Silicon Thin Film Transistor 응용을 위한
$SiO_2$ 박막 성장에 관한 연구로서 기존의 ICP-CVD를 이용한 실험에서$SiH_4$ 가스대신 유기 사일렌 반응물질인 TEOS(TetraethylOrthosilicate) Source를 이용하여 APCVD 법으로 성장시켰다.$SiO_2$ 박막은 반도체 및 디스플레이 분야에서 필드산화막, 보호막, 게이트 절연막 등으로 사용되며, 이러한 산화막 증착을 TEOS를 이용하였고, 빠른 증착과 더 좋은 특성을 갖는 박막 형성을 위하여$O_2$ 반응가스를 이용하였고, Ellipsometor, XPS 등을 이용하여 계면 특성 분석을 하였다. -
We have fabricated twisted nematic (TN) liquid crystal cells doped by carbon nanotubes (CNTs) with different CNT wt. %. With a minute amount doping, multi-walled CNTs did not perturb the liquid crystal orientations at the off- and on-state. The hysteresis studies of voltage-dependent capacitance (V-C) under the influence of electric field generated by ac and dc voltage show that the residual do, which is tightly related to image sticking problem in liquid crystal displays, is greatly reduced due to ion trapping by CNTs. Also, the V-C hysteresis shows dependency of capacitance on concentration of multi-walled CNTs.
-
본 연구에서는
$SiO_2$ 판상체 위에 저굴절 및 고굴절 금속 산화물을 다층 교차 증착하여 Pearl Pigment를 sputtering 공법을 이용하여 증착하였다. Pearl Pigment는 Essential Macleod program 을 이용한 색상과 증착된 pigment의 색상이 파장에 따라 blue, violet, pink, red, orange, yellow, green 등(Wave length : 450$\sim$ 730 nm)으로 동일하게 나타났고, 기존의 제품에 비해 색상효과가 뛰어나고, 표면 morphology가 우수하였다. 주사전자현미경(SEM)으로 막 두께, 표면 조직 및 입자 크기를 측정하였고, 스펙트로미터를 사용하여 각각의 파장을 분석하였으며 EDS, XRD를 이용하여 정성 및 정량 분석을 하였다. -
The
$ZnGa_2O_4$ :Cr phosphor was synthesized through solid-state reactions at the various molar ratio of Cr from 0.002 % to 0.01 %. The XRD patterns show that the Cr-doped$ZnGa_2O_4$ has a (311) main peak and a spinel phase. Also the emission wavelength shills from 510 to 705 nm in comparison with$ZnGa_2O_4$ :Mn when Cr is doped in$ZnGa_2O_4$ . These results indicate that$ZnGa_2O_4$ phosphors hold promise for potential applications in field-emission display devices with high brightness operating in full color regions. -
In this paper, we report a fluxless eutectic die bonding process which uses 80Au-20Sn eutectic alloy. The chip LEDs are picked and placed on silicon substrate wafers. The bonding process temperatures and force are
$305\sim345^{\circ}C$ and 10$\sim$ 100gf, respectively. The bonding process was performed on graphite heater with nitrogen atmosphere. The quality of bonding are evaluated by shear test and thermal resistance. Results of fluxless eutectic die bonding show that shear strength is Max. 3.85kgf at 345$^{\circ}C$ /100gf and thermal resistance of junction to die bonding is Min. 3.09K/W at 325$^{\circ}C$ /100gf. -
The effects of As addition In amorphous selenium (a-Se) films for digital X-ray conversion material have been studied using the moving photocarrier grating (MPG) technique We have found an increase in hole drift mobility and recombination lifetime, especially when 0.3% As is added into a-Se film, whereas electron mobility decreases with As addition due to the defect density. The transport properties for As doped a-Se films obtained by using MPG technique have been compared with X-ray sensitivity for a-Se:As X-ray device. The fabricated a-Se (0.3%As) based X-ray detector exhibited the highest X-ray sensitivity of 5 samples.
-
본 연구에서는 현재 주로 사용하고 있는 광파장인 980nm, 1310nm, 1480nm, 1550nm 에 대한 안정화된 광파워를 갖는 광원개발이다. 내부에 온도안정화와, 자체 광파워 피드벡에 의한 안정화 회로를 내장하고 있으며, 독립적 혹은 동시적으로 4 개의 광파장을 출력할 수 있도록 구성되어있다. 또한 0
$\sim$ -7dBm 사이의 광파워를 0.5dB 단위로 가변시킬 수 있으며, +-0.05dB 이내의 안정성을 갖는다. 또한 RS-232 시리얼 통신으로 PC 와 인터페이스가 가능하며, 광출력 상태를 모니터링하거나 원격으로 광파워를 제어할 수 있도록 구성하였다. 온도범위는 +-0.5도 이내에서 제어가 가능하며, 이러한 부분으로 구성된 4 파장 광원은 +-0.05dB 이내에서 안정화된 광을 출력할 수 있게 되었다. 본 연구 개발을 수행한 결과 레이져 다이오드의 안정화에 대한 확실한 이론적 및 실험적인 결과를 얻게 되었으며, 현재 확산되고 있는 광통신 시장에서 광통신 부품, 광케이블, 통신망 등에서 각종 소자 및 선로의 광학적 특성을 측정하는데 필수적으로 이용될 것이다. -
Jang, Ji-Geun;Kang, Eui-Jung;Kim, Hee-Won;Shin, Se-Jin;Gong, Myoung-Sun;Lim, Sung-Kyoo;Oh, Myoung-Hwan 309
In the fabrication of high performance red organic light emitting diode, 2-TNA TA [4,4',4" -tris (2-naphthylphenyl- phenylamino)-triphenylamine] as hole injection material and N PH [N,N'-bis (1-naphthyl) -N,N' -diphenyl-1, 1'-biphenyl-4,4'- diamine] as hole transport material were deposited on the ITO (indium tin oxide)/glass substrate by vacuum evaporation, And then, red color emission layer was deposited using Alq3 as a host material and Rubrene (5,6,11,12- tetraphenylnaphthacene) and GDI 4234 as dopants. Finally, small molecular weight OLED with the structure of ITO/2-TNATA/ NPB/Alq3+Rubrene+GDI4234/Alq3/LiF/Al was obtained by in-situ deposition of Alq3, LiF and Al as electron transport material, electron injection material and cathode. respectively. Green OLED fabricated in our experiments showed the color coordinate of CIE(0.65,0.35) and the maximum luminescence efficiency of 2.1 lm/W at 7 V with the peak emission wavelength of 632 nm. -
Kang, Hyung-Ku;Kim, Young-Hwan;Kim, Jong-Hwan;Han, Jin-Woo;Kang, Soo-Hee;Hwang, Jeoung-Yeon;Seo, Dae-Shik 311
By using 45$^{\circ}$ obliqued evaporation method with electron beam system, uniformly vertical liquid crystal (LC) alignment was achieved. And a high pretilt angles of about 2.5$^{\circ}$ were measured. Also, it was verified that there are no variations of pretilt angle as a function of$SiO_x$ thin film thickness 20nm and 50nm. A good LC alignment states were observed at annealing temperature of 250$^{\circ}C$ . The high pretilt angle and the good thermal stability of LC alignment by 45$^{\circ}$ obliqued electron beam evaporation method on the$SiO_x$ thin film can be achieved. -
Organic light emitting diodes(OLEDs) are widely used as one of the information display techniques. We synthesized (1,10-phenanthroline)- (8-hydroxyquinoline) [Zn(Phen)q]. We studied the improvement of OLEDs properties using Zn(phen)q. The Ionization Potential(IP) and the Electron Affinity(EA) of Zn(phen)q investigated using cyclic voltammetry(CV). The IP, EA and Eg were 7.leV, 3.4eV and 3.7eV, respectively. The PL spectrum of Zn(phen)q was yellowish green as the wavelength of 535nm. In this study, we used Zn(phen)q as electron transporting layer(ETL) inserted between emitting layer(EML) and cathode. As a result, Zn(phen)q is useful as electron transporting layer to enhance the performance of OLEDs.
-
This paper describes the AC accelerated ageing characteristics of zinc oxide varistors for the station class lightning surge arresters. ZnO varistors whose rated voltage were 3.27kV, 4.00kV, and 6.00kV were manufactured with general ceramic production methods. The power loss of sample A varistors rapidly increased and eventually showing the thermal run-away, but sample B and sample C varistors exhibited negative creep phenomena in power losses during the test.
-
This paper presents the analysis on the properties of porcelain insulators with the manufactured year. The domestic porcelain insulators manufactured in 1995, 1997, and 2002 and the imported one are prepared. The content of alumina was increased with the manufactured year, and the hardness of ceramic parts showed the same trend. Moreover, the distribution and the size of pore were more decreased and reduced on the junction parts between the glaze and the ceramic. Therefore, we concluded that the domestic porcelain insulators have been improved with the lapse of time.
-
This paper describes the principles and structures of an expert system for arrester diagnosis. The expert system analyzes and decides the arrester condition by total leakage current, its harmonic component, and temperature because the deterioration of arresters appeared in an increase of leakage current and surface temperature of it. Additionally, influence of system voltage harmonics and ambient temperatures on leakage current changes were considered in the design. The expert system is consisted of a data acquisition module and a computer for monitoring. The acquired analog data are digitalized and transmitted to the computer by an optical link which is free from interference.
-
In this paper, we dealt with a partial discharge(PD) measurement system that has been accepted as an effective and non-destructive technique for estimating reliabilities of low-voltage electrical and electronic components. Calibration tests on laboratory set-up have shown that the PD measurement system has a stable sensitivity of 37.6 mv/pC. In an application test on photo coupler, we could detect 0.1 pC level of partial discharge at the applied voltage of AC 520
$V_{peak}$ . -
To found out the degradation characteristic of transformer insulation, insulation materials and electrodes are deposited into transformer oil. They used to heated and make flashover. Due to the thermal and electrical stress added to insulation materials, the density of carbon dioxide and hydrogen included in transformer oil was increased. The gas density can measured by using the gas density detection equipment of gas sensor and air circulation method.
-
해양에 적조가 발생하면 해수의 산소결핍 현상을 유발하고 동식물의 성장과 생존에 필수적인 태양광을 차단하여 해양 동물뿐 아니라 식물에게까지 치명적 피해를 준다. 또한, 대형 선박의 안정수(ballast water) 유입시 안정수 내에 포함되어 그들의 생활공간을 이동하면서 선박으로부터 다시 유출될 때 신생활공간에 외래 생물체로 침입하여 그곳의 기존 생태계를 파괴시키거나 교란하는 외래침입생물체는 해양생태계 환경파괴의 주범이다. 따라서 이들 두 종류의 해양생물은 부분적으로 상관성을 지니는 해양수산업계의 천적이며, 해양생태환경 파괴의 원인 제공자로써 마땅히 과학적 방법으로 제거되거나 방지되어야 한다. 이 논문은 해양수산업 계에 막대한 피해를 끼치며 해양생태환경 파괴의 주범으로 등장한 적조생물과 외래침입생물체들이 해수로부터 제조한 플라즈마 반응생성물에 처리된 결과를 분석하여 그 응용성을 조사하였다.
-
Bi-system thin films are prepared by ion beam sputtering technique. Three phases of Bi-2201, Bi-2212 and Bi-2223 appear as stable ones in spite of the conditions for thin film fabrication of Bi-2212 and Bi-2223 compositions, depending on substrate temperature(
$T_{sub}$ ) and ozone pressure($PO_3$ ). It is found out that these phases show similar$T_{sub}$ and$PO_3$ dependence, and that the stable regions of these phases are limited within very narrow temperature. -
Bi-Sr-Ca-Cu-O thin films have been fabricated by sputter deposition method. During the deposition, 10 and 90 wt%-ozone/oxygen mixture gas of typical pressure of
$1\sim9\times10^{-5}$ Torr are supplied with ultraviolet light irradiation for oxidation. XRD and RHEED investigations reveal out that a buffer layer with some different compositions is formed at the early deposition stage of less than 10 units cell and then Bi-2201 oriented along the c-axis is grown. -
Ozone is ambient gas which is useful for the fabrication of metal oxide thin films under conditions of molecular beam epitaxy. Ozone is condensed by the adsorption method and its concentration is analyzed using the thermal decomposition method. The concentration of ozone exceeds 90 mol% and ozone is supplied for a sufficiently long time to grow oxide thin films. The ozone concentration is also evaluated using a quadrupole mass analyzer and the accuracy of this method is compared with the results of the thermal decomposition method.
-
The simulation of electric field distribution of discharge tube with globular
$ZrO_2$ and the removal characteristic of Escherichia coli by the discharge tube with globular$ZrO_2$ were estimated. The removal characteristic of Escherichia coli was related to the input voltage because the electric field is increased according to input voltage. As the particle size of$ZrO_2$ beads increased, the removal time of Escherichia coli was shortened due to the dielectric polarization of$ZrO_2$ beads. -
Jeon, Min-Je;Kim, Ick-Jun;Lee, Sun-Young;Kim, Hyun-Su;Im, Young-Tek;Le, Sang-Hyun;Lee, Moon-Bae;Oh, Dae-Hee 336
This study shows the effect of addition of$LiCoO_2$ , with different milling time ranging from 0, 30, 200 hours, to the activated carbon electrode. Test cells consisting of activated carbon (100-X%) and$LiCoO_2$ (X%)were made (where X=10, 20, and 40). Test cells with varying concentrations of$LiCoO_2$ which differ in the milling time showed improved specific capacity compare with the cells fabricated using activated carbon alone. The enhanced capacity may be caused due to the addition of$LiCoO_2$ powders at varying concentration. An improved ESR value obtained may be caused by the smaller particle size of$LiCoO_2$ . It was concluded that finer the particle size higher will be the efficiency. -
The wider surface of the aluminum foil, electrochemically very important and it is necessary to increase the surface area. A study has been made of the fabrication condition for etching cube texture of high purity aluminium foil and of electrochemical etching of the aluminium foil. In the present work, it is shown there exists a relation between the influence of the pre-treatment time in the NaOH & HCI solution and
$H_2SO_4$ concentration in the conversion solution. Also effect of temperature during AC etching was also studied. Result of the etched aluminum film is shown in the typical SEM images. Its electrochemical characteristics were investigated by cyclic voltammetry. And effects of current density and frequency is also reported. Cyclic voltammogram showed that the protective oxide film was formedon the inner surfaces of etch pit. the frequency influence resistance of oxide film in AC etching. -
Lithium titanium oxide as anode material for energy storage prepared by novel synthesis method.
$Li_4Ti_5O_{12}$ based spinel-framework structures are of great interest material for lithium-ion batteries. We describe here$Li_4Ti_5O_{12}$ a zero-strain insertion material was prepared by novel sol-gel method and by high energy ball milling (HEBM) of precursor to from nanocrystalline phases. According to the X-ray diffraction and scanning electron microscopy analysis, uniformly distributed$Li_4Ti_5O_{12}$ particles with grain sizes of 100nm were synthesized. Lithium cells, consisting of$Li_4Ti_5O_{12}$ anode and lithium cathode showed the 173 mAh/g in the range of 1.0$\sim$ 3.0 V. Furthermore, the crystalline structure of$Li_4Ti_5O_{12}$ didn't transfer during the lithium intercalation and deintercalation process. -
지식기반사회에 있어서는 종래부터 사용되어 오던 직업교육의 개념 설정과 교육의 방향이 바뀌어야 한다. 이 연구에서는 직업교육, 기술교육, 진로교육, 전문교육, 공학교육 등의 의미를 살펴보고, 전문대학과 대학 수준에서의 직업교육에 관한 교육과정을 살펴본 후, 그 개선점과 나아갈 방향에 대한 탐색을 하였다 이 논문에서 사용한 연구 방법으로는 자료 분석에 의한 문헌 연구(literature research) 방법이다.
-
본 논문에서는 홀로그래피용 감광물질로서, 앞으로 가장 발전가능성이 있다고 기대되는 photopolymer에 대해서 언급하고자 한다. 홀로그램은 물체에서 방출되는 빛의 파면에 대한 정보를 기록하는 필름을 말하며 이러한 홀로그램을 이용한 기술을 홀로그래피라고 한다. 먼저 홀로그램 및 홀로그래피의 개념과 원리에 대해 서술하고 현재 사용되고 있는 홀로그래피용 감광물질인 silver-halide나 dichromatic gelatin 등에 대해 소개한다. 이와 비교하여 photopolymer가 가지는 특성과 장점을 기술하고 photopolymer를 기반으로 한 holography의 발전에 따른 차세대 holographic applications에 대해 알아본다.
-
The carbon film was deposited by the electrolysis of methanol solution. From investigations of the Raman spectroscopy and the FTIR spectroscopy, the carbon film deposited by the electrolysis was identified the hydrogenated carbon film with the porous structure. The carbon film deposited by electrolysis of methanol was identified as the hydrogenated carbon film with porous structure.
-
An electromagnetic memory effect observed in superconducting YBCO system was studied. From the measurement of differential conductance, it was cleared that the mechanism of electromagnetic memory can not be explained by using conventional flux flow model. By changing the density of external magnetic flux, changes in inductance of a coil in which a superconducting bar is inserted were also measured. It was concluded that the electromagnetic memory effect aries from the interaction between the trapped magnetic flux and the weak link of the filament formed in the superconducting bar.
-
The electrochemical behavior of Si-C material synthesized by heating the mixture of silicon and polyvinylidene fluoride (PVDF). Coin cells of the type 2025 were made using the synthesized material and the electrochemical studies were performed. Si-C/Li cells were made by using the developed Si-C material. Charge/discharge test was performed at 0.1C hour rate. Initial charge and discharge capacities at Si-C material derived from 20 wt.% of PVDF was found to be 1,830 and 526 mAh/g respectively. Initial charge/discharge characteristics of the electrode were analyzed. The level of reversible specific capacity was about 216 mAh/g at Si-C material derived from 20 wt.% of PVDF, IIE, intercalation efficiency at initial charge/discharge, was 68 %. Surface irreversible specific capacity was 31 mAh/g, and average specific resistance was 2.6 ohm*g.
-
Indium tin oxide (ITO) thin film was polished by chemical mechanical polishing (CMP) immediately after pad conditioning with the various conditioning temperatures by control of do-ionized water (DIW). Light transparent efficiency of ITO thin film was improved after CMP process after pad conditioning at the high temperature because the surface morphology was smoother by soften polishing pad and decreased particle size.
-
Indium tin oxide (ITO) thin film was polished by chemical mechanical polishing (CMP) by the change of process parameters for the improvement of electrical and optical properties of ITO thin film. Light transparent efficiency of ITO thin film was improved after CMP process at the optimized process parameters compared to that before CMP process.
-
Chemical mechanical polishing (CMP) allows the planarization of wafers with two or more materials at their surfaces. Especially, polishing pad is considered as one of the most important consumables because of its properties. Subject of this investigation is to apply CMP for planarization of shallow trench isolation structure using microstructure pad. Microstructure pad is designed to have uniform structure on its surface and fabricated by micro-molding technology. And then STI CMP performances such as oxide dishing and nitride corner rounding are evaluated.
-
Chemical mechanical polishing(CMP) process depends on a variety of variables. Especially, surface roughness of pad plays a key role in material removal in CMP in terms of transportation ability of pores and real contact area. The surface roughness is deteriorated with polishing time by applied pressure and relative velocity. In this reason, diamond conditioner has been used to maintain the roughness on the pad. The authors try to investigate the correlation between pad roughness and frictional behavior by comparing ex-situ conditioning with in-situ conditioning.
-
Jeong, Suk-Hoon;Park, Boum-Young;Park, Sung-Min;Lee, Sang-Jik;Lee, Hyun-Seop;Jeong, Hae-Do;Bae, So-Ik;Choi, Eun-Suck;Baeck, Kyoung-Lock 360
Rapid progress in IC fabrication technology has strong demand in polishing of silicon wafer to meet the tight specification of nanotopography and surface roughness. One of the important issues in Si CMP is the stabilization of polishing pad. If a polishing pad is not stabilized before main Si wafer polishing process, good polishing result can not be expected. Therefore, new pad must be subjected into break-in process using dummy wafers for a certain period of time to enhance its performance. After the break-in process, the main Si wafer polishing process must be performed. In this study, the characteristics of break-in process were investigated in Si wafer polishing. Viscoelastic behavior, temperature variation of pad and friction were measured to evaluate the break-in phenomenon. Also, it is found that the characteristic of the break-in seems to be related to viscoelastic behavior of pad. -
The objectives of this paper are to achieve good planarization of the deposited film and to improve deposition efficiency of multi-layer structures by using surface-micromaching process in MEMS technology. Planarization characteristic of poly-Si film deposited on thin oxide layer with MEMS structures is evaluated with different slurries. Patterns used for this research have shapes of square, density, line, hole, pillar, and micro engine part. Advantages and disadvantages of CMP for MEMS structures are observed respectively by using the test patterns with structures larger than 1 um line width. Preliminary tests for material selectivity of poly-Si and oxide are conducted with two types of slurries: ILD1300 and Nalco2371. And then, the experiments were conducted based on the pretest.
-
This paper report nanotribological behavior between Si tip and Cu wafer surfaces which was treated various concentration of
$H_2O_2$ . This experimental approach has proven atomic level insight into Cu CMP. It has been used to study interfacial friction and adhesion force between Si tip and Cu wafer surfaces in air by atomic force microscopy (AFM). Adhesion force of Cu surfaces which was pre-cleaned in diluted HF solution was lager than Cu oxide surfaces. Adhesion force of Cu oxide surface was saturated around 7 nN. Slope of normal force vs lateral signal was increased as increasing concentration of$H_2O_2$ and it was saturated around 24. Friction force of Cu oxide was lager than Cu. -
The effect of benzotriazole (BTA) on the adhesion force of silica and pad particle on Cu/TEOS wafer surfaces was investigated with and without the addition of BTA. Cu-BTA had the isoelectric point (IEP) at around pH 4
$\sim$ 8. Pad particles were more positive zeta potentials than silica. The adhesion force initially decreased of silica and pad particle on Cu surfaces when BTA was added. However, the more BTA was added, the more adhesion force gradually increased with the increase of BTA concentrations. Then the adhesion force of pad particle was higher than silica. And TEOS didn't resulted increasing adhesion force like Cu when BTA was added in DI water.