Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference (한국전기전자재료학회:학술대회논문집)
The Korean Institute of Electrical and Electronic Material Engineers
- Annual
2004.11a
-
반도체 디자인, 공정 기술 및 패기지 기술의 발달에 따라 전력용 반도체는 소형화, 고성능화, 지능화하고 있다. 고속 구동이 용이한 때문에 MOSFET이나 IGBT등의 MOS-gate형 전력 반도체의 발전이 두드려지며, trench, charge balance, NPT 기술등이 패키지 기술과 더불어 이를 위한 주요 기술이 될것으로 보인다. SiC나 GaN등의 Wide Band Gap 물질들을 사용한 차세대 전력 반도체 연구도 활발히 진행되고 있다.
-
Kim, Sang-Cheol;Bahng, Wook;Seo, Kil-Soo;Kim, Kee-Hyun;Kim, Hyung-Woo;Kim, Nam-Kyun;Kim, Eun-Dong 7
탄화규소 전력반도체 소자는 실리콘 전력반도체 소자에 비해 우수한 물질특성을 갖고 있어 성능 측면에서 뿐 만 아니라 전력변환장비의 크기를 획기적으로 줄일 수 있는 새로운 반도체 소자이다. 특히 unipolar 계열의 소자에서 괄목할 만한 특성을 보이고 있다. 현재 쇼트키 장벽 다이오드의 경우 5kV급, UMOSFET의 경우 3kV급의 소자까지 보고되고 있으며 반도체 물질 중에서 가장 활발히 연구가 진행되고 있는 분야 중의 하나이다. 단결정성장 분야에서도 3인치 급이 상용화 되었으며 4인치 크기의 웨이퍼의 상용화가 조만간 실현될 것으로 기대되고 있다. 이러한 기술적 발전을 토대로 600V, 1200V급 쇼트키 다이오드가 PFC boost 용으로 시판되고 있으나 아직은 다른 반도체 소자에 비해 미미한 실정이다. 현재에는$250^{\circ}C$ 까지의 온도영역에서 실리콘 SOI(Silicon on Insulator) 소자가 주로 사용되고 있다. 그러나$300^{\circ}C$ 를 넘는 온도 영역에서는 실리콘으로는 한계가 있고, 특히 SOI는 전력소자에 적용하기는 한계가 있어 주로 저전력 고온소자가 필요한 부분에 적용이 되고 있다. 따라서 전력용에 적합한 고온소자로 탄화규소 소자의 연구가 활발히 진행되고 있다. 현재의 추세로 보아$200-300^{\circ}C$ 영역의 응용분야에서는 SOI와 탄화규소가 함께 적용될 것으로 예상되며,$300^{\circ}C$ 를 넘는 온도영역에서는 탄화규소 소자의 우월적 지위가 예상된다. 이러한 이유로 탄화규소 반도체소자의 응용 분야는 크게 확대될 것으로 예상되며 국가적 차원의 지원 및 육성이 요구되는 분야 중의 하나이다.t로 사용한 소자보다 발광 소광 현상이 적게 일어난 것에 기인하였다고 생각된다. 두 소자 모두$40mA/cm^2$ 에서 이상적인 화이트 발란스와 같은(0.33,0.33)의 색좌표를 보였다.epsilon}_0=1345$의 빼어난 압전 및 유전특성과$330^{\circ}C$ 의 높은$T_c$ 를 보였고 그 조성의 vibration velocity는 약4.5 m/s로 나타났다.한 관심이 높아지고 있다. 그러나 고 자장 영상에서의 rf field 에 의한 SAR 증가는 중요한 제한 요소로 부각되고 있다. 나선주사영상은 SAR 문제가 근원적으로 발생하지 않고, EPI에 비하여 하드웨어 요구 조건이 낮아 고 자장에서의 고속영상방법으로 적합하다. 본 논문에서는 고차 shimming 을 통하여 불균일도를 개선하고, single shot 과 interleaving 을 적용한 multi-shot 나선주사영상 기법으로$100{\times}100$ 에서$256{\times}256$ 의 고해상도 영상을 얻어 고 자장에서 초고속영상기법으로 다양한 적용 가능성을 보였다. 연구에서 연구된$[^{18}F]F_2$ 가스는 친핵성 치환반응으로 방사성동위원소를 도입하기 어려운 다양한 방사성의 약품개발에 유용하게 이용될 수 있을 것이다.었으나 움직임 보정 후 영상을 이용하여 비교한 경우, 결합능 변화가 선조체 영역에서 국한되어 나타나며 그 유의성이 움직임 보정 전에 비하여 낮음을 알 수 있었다. 결론: 뇌활성화 과제 수행시에 동반되는 피험자의 머리 움직임에 의하여 도파민 유리가 과대평가되었으며 이는 이 연구에서 제안한 영상정합을 이용한 움직임 보정기 -
Kim, Hyoung-Woo;Seo, Kil-Soo;Kim, Sang-Cheol;Bahng, Wook;Kim, Ki-Hyun;Kim, Nam-Kyun;Kim, Eun-Dong 13
전력계통 분야에서는 HVDC 전력변환소, BTB, UPFC 및 SVC의 안정성 향상 및 안정적인 운용을 위한 체계적인 유지보수 및 관리가 필요하다. 특히 전력계통에 접속된 대용량 전력반도체 소자인 사이리스터 밸브는 운전중에 열적, 전기적인 스트레스를 받게 되며, 이로 인해 밸브의 수명이 감소하여 전력계통의 안정적인 운용을 어렵게 만드는 요인이 된다. 따라서 전력계통 운용의 안정성을 확보하기 위해서는 대용량 사이리스터 밸브의 열적, 전기적 스트레스에 따른 수명 변화를 예측하는 열화진단 기법의 개발이 중요하다. 본 고에서는 대용량 사이리스터 소자의 열화진단 기법에 대한 국내외 현황과 현재 연구가 진행중인 열화 진단 기법에 대해 서술하였으며, 1500V급 사이리스터 소자의 가속열화 실험을 통해 소자의 수명을 예측한 결과를 나타내었다. -
Pseudo-MOSFET의 제작을 위해서는 표면 실리콘 층의 식각 공정이 필요하며, 공정의 간편성으로 인해 주로 RIE(Reactive Ion Etching)를 사용하고 있다. 하지만, RE 공정 도중 발생하는 Plasma에 의해서 SOI 층이 손상을 받게 되고 이 영향으로 소자의 특성이 열화 될 가능성이 있다. 이러한 특성의 열화를 확인하기 위하여 소자 제작을 위한 표면 실리콘 층의 식각을 RIE 공정과 TMAH 용액을 이용한 습식 식각을 각각 행하여 그 특성을 비교한 결과, 건식 식각된 시편에서 계면상태 밀도의 증가, 이동도의 감소 등 특성 열화 현상이 현저히 나타났다. 이러한 RIE 공정 중 발생하는 손상을 제거하기 위하여 저온 열처리를 하였으며 그 결과
$400^{\circ}C$ $N_2$ 분위기에서 4시간 동안 열처리를 하여 습식 식각된 시편과 동일한 특성을 가지게 할 수 있었다. -
Kim, Hyun-Suk;Park, Byung-Jun;Kim, Jin-Hyoung;Lee, Jun-Woo;Kim, Dong-Won;Cho, Kyoung-Ah;Kim, Sang-Sig 25
Photocurrent spectrum, photoresponse, and I-V measurements were made for close-packed HgTe nanoparticles without organic capping materials to investigate their photocurrent characteristics in the infrared range. In absorption and photoluminescence (PL) spectra taken for the close-packed nanoparticles film, the wavelengths of exciton peaks was red-shifted, compared with organic capped HgTe nanoparticles dispersed in solution. This red-shift is caused by the lessening of the exciton binding energy. The I-V curves and photoresponse for the close-packed nanoparticles film reveal their dark current and fast photoresponse with no current decay, respectively. The observation suggests that the HgTe nanoparticles are a very prospect material applicable for photodetectors in the whole IR range. -
This work was devoted to an investigation of etching mechanisms for
$(Ba,Sr)TiO_3$ (BST) thin films in inductively coupled$CF_4/Ar$ plasma. We have found that an increase of the Ar content in$CF_4/Ar$ plasma causes non-monotonic behavior of BST etch rate, which reaches a maximum value of 40 nm/min at 80% Ar. Langmuir probe measurements show a weak sensitivity of both electron temperature and electron density to the change of$CF_5/Ar$ mixing ratio. O-D model for plasma chemistry gave monotonic changes of both volume densities and fluxes for active species responsible for the etching process. The analysis of surface kinetics confirms the possibility of non-monotonic etch rate behavior due to the concurrence of physical and chemical pathways in ion-assisted chemical reaction. -
탄소 도핑
$(5{\times}10^{19}\;cm^{-3})$ 된 p-type GaAsSb 에피층 위에, Ti/Pt/Au, Pd/Au, Pd/Ir/Au를 이용한 다층 오믹 접촉을 제작하였다. MOCVD(metal-organic chemical vapor deposition)를 이용하여 성장시킨 이 p-GaAsSb의 정공 이동도는 탄소의 도핑 농도가 매우 높음에도 불구하고,$50\;cm^2/Vs$ 로 측정되었다. 오믹 접촉의 전기적 특성을 측정하기 위하여 TLM(Transfer length method)를 이용하였다. Pd/Ir/Au을 이용한 오믹접촉의 specific contact resistivity는$10^{-8}\;ohm-cm^2$ 보다 작은 수치를, transfer length는 100 nm보다 작은 수치를 보였으며, Ti/Pt/Au을 이용한 ohmic contact의 specific contact resistivity는$10^{-7|\;ohm-cm^2$ 보다 작은 수치를, transfer length는 400 nm보다 작은 수치를 나타내었다. -
CdTe nanoparticles were synthesized in aqueous solution by colloidal method. The absorption and photoluminescence(PL) spectrum of the synthesized CdTe nanoparticles revealed the strong exitonic peak in the visible region. Photocurrent of CdTe nanoparticles were observed in the structure of Al/CdTe/ITO that was fabricated by spin coating of CdTe nanoparticles. The wavelength dependence of photocurrent was very similar to the absorption spectrum, indicating the charges generated by the absorption of photons give direct contribution to photocurrent. This study suggests that CdTe nanoparticles are very prospective materials for optoelectronics.
-
양성자 주입 기술을 pn 다이오드의 동작 속도를 향상시키기 위한 방법 이용하였다. 양성자 주입은 에너지를 2.32 MeV, 2.55 MeV, 2.97 MeV로 변화시키며 수행하여, projection 범위를 각각 접합, 공핍, 중성영역에 위치하도록 하였다. 또한 각각의 에너지 조건에서 도즈를
$1{\times}10^{11}\;cm^{-2}\;1{\times}10^{12}\;cm^{-2},\;1{\times}10^{13}\;cm^{-2}$ 의 세가지 조건으로 변화시켰다. 양성자 주입 조건 변화에 따른 소자 동작특성의 변화를 관찰하기 위하여 소자의 전류-전압 특성, 용량-전압 특성, 역방향 회복시간 측정을 수행하였다. 분석결과 양성자를 주입하지 않은 소자에 비해 특성의 큰 열화없이 역방향 회복시간을 약 1/5 수준으로 단축시킬 수 있는 것으로 나타났다. -
Improvement of Thermal Stability of Nickel Silicide Under the Influence of Nickel Sandwich StructureKim, Yong-Jin;Oh, Soon-Young;Yun, Jang-Gn;Huang, Bin-Feng;Ji, Hee-Hwan;Kim, Yong-Goo;Wang, Jin-Suk;Lee, Hi-Deok 45
본 논문은 니켈실리사이드 (Ni-Silicide)의 열안정성을 개선하기 위해서 Ti와 TiN capping 층을 이용한 새로운 구조 Ni/Ti/Ni/Tin 구조를 제안하였다. 계면특성과 열안정성을 향상시키기 위해 타이타늄(Ti)을 니켈(Nickel) 사이에 적용하고, 니켈 실리사이드 형성 시 산소와의 반응을 억제하여 실리사이드의 응집현상을 개선시키고자 TiN capping을 적용 하였다. 니켈 실리사이드의 형성온도에 따른$NiSi_2$ 로의 상변이를 억제할 수 있었고, 열안정성 평가를 위한$700^{\circ}C$ , 30분간 고온 열처리에서도 제안한 구조로 니켈실리사이드의 단면특성과 19 % 정도 면저항 특성을 개선하였다. -
New hydrophobic alumina nanoparticles were synthesized from alumina powders which were hydrophilic nano-agglomerates with diameters from
${\mu}m$ to mm by surface modification. The synthesized hydrophobic alumina nanoparticles which were retreated with Oleic acid were analyzed by Fourier transform infrared spectrophotometer and transmission electron microscopy. Then transformer oil containing surface-modified alumina naonparticles were synthesized. The synthesized hydrophobic alumina nanoparticles were well-dispersed in transformer oil. The coefficient of viscosity and dielectric strength of the Nano-transformer oil were investigated with viscometer and high voltage experiment device. In this study, the thermal conductivity of Nano-transformer oil was investigated to reduce the oil temperature of transformer by transient hot-wire method. -
This paper presents the improved burn-in method for the reliability of SRAM in MCP Semiconductor reliability is commonly improved through the burn-in process. Reliability problem is more significant in the Multi Chip Package, because of including over two devices in a package. In the SRAM-based Multi Chip Package, the failure of SRAM has a large effect on the yield and quality of the other chips - Flash Memory, DRAM, etc. So, the quality of SRAM must be guaranteed. To improve the quality of SRAM, we applied the improved wafer level burn-in process using multi cell selection method in addition to the current used methods. That method is effective in detecting special failure. Finally, with the composition of some kinds of methods, we could achieve the high qualify of SRAM in Multi Chip Package.
-
This paper presents multibit Sigma-Delta ADC using Leslie-Singh Structure to Improve nonlinearity of feedback loop. 4-bit flash ADC for multibit Quantization in Sigma Delta modulator offers the following advantages such as lower quantization noise, more accurate white-noise level and more stability over single quantization. For the feedback paths consisting of DAC, the DAC element should have a high matching requirement in order to maintain the linearity performance which can be obtained by the modulator with a multibit quantizer. Thus a Sigma-Delta ADC usually adds the dynamic element matching digital circuit within feedback loop. It occurs complexity of Sigma-Delta Circuit and increase of power dissipation. In this paper using the Leslie-Singh Structure for improving nonliearity of ADC. This structure operate at low oversampling ratio but is difficult to achieve high resolution. So in this paper propose improving loop filter for single-bit feedback multi-bit quantization Sigma-Delta ADC. It obtained 94.3dB signal to noise ratio over 615kHz bandwidth, and 62mW power dissipation at a sampling frequency of 19.6MHz. This Sigma Delta ADC is fabricated in 0.25um CMOS technology with 2.5V supply voltage.
-
In this paper, we investigated the variations of initial fault current limiting instant according to fault angles in the flux-lock type SFCL. The flux-lock type SFCL consists of the coil 1 and the coil 2 that are wound in parallel each other through an iron core. The operation of the flux-lock type SFCL can be divided into the subtractive polarity winding and the additive polarity winding operations according to the winding directions between the coil 1 and coil 2. The subtractive polarity winding operation could be analyzed with three modes. On the other hand, the additive polarity winding operation could be analyzed with five modes. The variations of initial fault current limiting instant in two winding directions were dependent on the fault angles. It was confirmed from experiment that the fault current limiting instant was getting faster and the magnitude of fault current at the initial fault time was getting higher for higher fault angle.
-
Oh, Sang-Soo;Hwang, Sun-Yuk;Song, Kyu-Jeong;Kang, Suk-Il;Ha, Dong-Woo;Ko, Rock-Kil;Park, Chan 65
A lot of R&D efforts are being concentrated on the development of high performance HTS coated conductors(CC). Unlike the HTS Bi-2223 tape, a variety of processes have been tried to fabricate CC tapes. PLD and MOD are believed to be very effective methods, and high critical currents of long length CC tape have been reported. In this study, we prepared two kinds of YBCO CCs to evaluate electromagnetic property. One is YBCO tape deposited on IBAD template by PLD and the other is AMSC's MOD CC tape Critical current (Ic) in magnetic fields, its angular dependency, and n-value were measured and analyzed. Magnetic field property of Ic was appeared to be different due the fabrication process. MOD tape showed higher in-field property, n-value of both PLD and MOD tapes exponentially decreased with magnetic field. MOD tape showed higher n-value in whole magnetic fields. -
In order to use HTS tape on electric power applications, such as cable, motor, transformer, fault current limiter, a long length of HTS tape with a good uniformity of critical current is inevitable. The longer length of HTS tape, the wider in the range of application and the lower cost of HTS tape. In this study three long length Bi-2223/Ag tapes(268m, 253m and 187m) were fabricated. Critical current uniformity along the length was greatly improved through the optimization of cold deformation and thermo-mechanical process. Average critical current of the tapes was 63.2 A, 54.6 A and 64.2 A, respectively Critical tensile strength and critical bending radius (77 K, 5 % Ic degradation) was 135 MPa and 56 m, respectively.
-
High-temperature Superconductor(HTS) tubes were fabricated in term of different processing variables such as preheating temperature, speed of mold rotation and cooling rate by centrifugal forming method. For powder melting by induction the optimum range of melting temperatures and preheating temperature were
$1050^{\circ}C{\sim}1100^{\circ}C$ and$550^{\circ}C$ for 30min, respectively. The mould roating speed was 1000rpm. A tube was annealed at$840^{\circ}C$ for 72hours in oxygen atmosphere. The plate-like grains were well developed along the roating direction and typical grain size was about more than$40{\mu}m$ . It was found that Ic values increased with increasing the preheating temperature and speed of mold rotation. While Ic decreased with increasing the cooling rate. The measured Ic in$50mm{\times}70mm{\times}2.5mm$ tube was about 896Amp. -
In this paper, we have investigated the characteristics of the magnetic abrasive using sludge on polishing of internal finishing of seamless stainless steel (STS304) tube applying magnetic abrasive polishing. Either white alumina (WA) or green carborundum (GC) grain was used to resin sludge at a low temperature, and the sludge of magnetic abrasive powder was synthesized and crushed into 200 meshes. Surface roughness was measured before and after polishing, and more than 40% of improvement of surface roughness was achieved when WA grain was used under a specific condition. Even though some degree of surface roughness due to deeper scratches still exist, but the result showed a prospective magnetic abrasive polishing using sludge with WA or GC grains.
-
The NiCr is an important material for present thin-film resistor application owing to its low TCR and thermal stability. In this work, the NiCr thin films were deposited on coming glass substrate by reactive magnetron sputtering and the annealing at temperatures range from 300 to
$500^{\circ}C$ for 20 min in vacuum. X-ray, AFM,$R_s$ (surface leakage current) have been used to study the structural and electrical properties of the NiCr thin films. The high precision NiCr thin films resistor with TCR(temperature coefficient of resistance) of less then$10\;ppm/^{\circ}C$ was obtained under in in-situ annealing at$300^{\circ}C$ on Cr buffer layer substrate. It is clear that the NiCr thin-films resistor electrical properties are low TCR related with it's annealing and buffer layer condition. NiCr thin film resistor having a good thermal stability and low TCR properties are expected for the application to the dielectric material of passive component. -
The piezoelectric ceramic is attached between 'L' type guide and 'L' type stator, This motor has rotary motion which is operated by longitudinal and bending mode. The basic structure of the two kinds of type motor that called one stator motor, two stator motor is same but we suggested a few parameters for considering their stator design and characteristics. As a result, the two stator type motor is much more useful than one stator type motor for hi-direction rotation.
-
Nowadays, the study on the ceramic components and modules that used in telecommunication system is being performed. The technology that co-fired both a ceramic material and a silver conductor is usually used to develop small telecommunication components and modules. In the study, It is that the lumped elements equivalent circuit with easy tuning control can be matched more accurate at the 50ohm than the transmission line.
-
Driving Characteristic of L1-B4 Type Ultrasonic Linear Motor by Varying the Size of Elastic MaterialAn ultrasonic linear motor was composed of a slider and a stator vibrator including piezoelectric material and elastic material. The ultrasonic linear motors mainly consist of an ultrasonic vibrator which generates elliptical oscillations. L1-B4 ultrasonic linear motor use longitudinal and bending multi-vibration. In order to design stators which has high efficiency and driving characteristics, The finite element method was used to optimize dimension of ultrasonic vibrator and direction of vibratory displacement. stator vibrator of respectively width 3, 5, 7[mm] was fabricated and experimented. as results When width was 5[mm], the driving characteristics was good.
-
Lee, Nam-Hee;Kim, Joong-Hee;Hong, Wan-Sik;Jang, Moon-Ik;Ahn, Jin-Ho;Hwang, Jong-Sun;Kim, Sun-Jae 97
용액 중에서 나노입자의 전기영동 특성을 이용한 전자종이용 잉크 제조를 위해$TiO_2$ 나노입자를 저유전율 용매인 cyclohexane에 혼합한 후 용매와 용질의 비중차를 줄이기 위해 분말 상 polyethylen을 첨가하여 high energy milling의 방법으로 입자분쇄와 동시에 입자 표면에 고분자 풍을 코팅하였다. 용액내의 입자 분산성 향상과 용매 착색을 위하여 계면활성제와 oil-blue N을 첨가한 후 전자종이용 잉크를 제조하여 측정한 제타 전위 결과 cyclohexane 내에서$TiO_2$ 의 제타전위는 -40mV 정도였으나 polyethylene으로 코팅한 후 계면활성제를 첨가하였을 경우 최대 -110mV 이상의 높은 값을 나타내었다. 실제 디스플레이 특성을 평가하기 위해 포토리소그래피를 이용하여 3인치 크기의 ITO glass 위에$10{\mu}m$ 의 크기를 갖는 십자형의 격벽을$40{\mu}m$ 의 높이로 균일하게 형성한 후 합성된 전자잉크로 주입하여 상부전극과 하부전극사이에 UV 경화제를 도포하여 UV 접합을 실시하였다. 격벽 내에서 입자의 mobility를 측정하여 환산된 전자잉크의 응답속도는 0.1cm/sec로 측정되었으나, 전기영동시 입자들의 움직임에 따른 반사광의 파형을 측정한 경우 0.07cm/sec의 응답속도를 나타내었다. -
Chung, Dong-Hoe;Shin, Cheol-Gi;Lee, Dong-Gyu;Lee, Joon-Ung;Lee, Suk-Jae;Lee, Won-Jae;Jang, Kyung-Wook;Kim, Tae-Wan 103
We have studied a conduction mechanism and equivalent circuit analysis in$Alq_3$ based Organic Light Emitting Diode. The conduction mechanism in organic light emitting diode can be classified into three regions; ohmic region, space-charge-limited current (SCLC) region and trap-charge-limited current (TCLC) region depending on the region of applied voltage. Equivalent circuit model of organic light emitting diode can be established using a parallel combination of resistance$R_p$ and capacitance$C_p$ with a small series resistance$R_s$ . -
-
Kim, Young-Min;Lee, Joo-Won;Park, Jung-Su;Bae, Sung-Jin;Paek, Kyeong-Kap;Jang, Jin;Sung, Man-Young;Ju, Byeong-Kwon;Kim, Jai-Kyeong 108
An efficiency and brightness of the Organic Light-emitting Diodes(OLEDs) by insertion of the novel layer between a singlet emitter and an electron transporting layer without doping processes, has been improved. The novel layers named as the K-M1 and K-M2 layers have shown the excellent improvement in the carrier balance and recombination efficiency. New devices using the K-M1 and K-M2 layers have shown a high efficiencies of over 15cd/A and 61m/W$(at\;20mA/cm^2)$ , and brightness of over$16,000cd/m^2(at\;100mA/cm^2)$ , respectively. -
Photovoltaic Effects of Exciton Blocking Layer and Electrodes in Organic Semiconductor
$CuPc/C_{60}$ Photovoltaic effects in$CuPc/C_{60}$ heterojunction structure have been studied depending on thickness of exciton blocking layer(BCP) and electrodes. Bare ITO and polymer coated electrode(PEDOT:PSS) were used as an anode, and Al, Ca/Al, Mg/Al, LiF/Al, and LiAl were used as a cathode. Photovoltaic parameters depending on BCP layer thickness from 0 to 60 nm and electrodes having different work function were measured using Keithley 236 source-measure unit and a 500W xenon lamp (ORIEL 66021). We have seen that the BCP layer thickness severely affects on the performance of photovoltaic cells. -
In this paper, we have improved a novel (ECB) mode using tilt angle in the unique condition by hot-plate equipment. The new control of tilt angle for nematic liquid crystal (NLC) with negative and positive dielectric anisotropy on the rubbed homeotropic polyimide (PI) using baking method by Hot-plate equipment was investigated. LC tilt angle decreased with increasing baking temperature and time. Especially, the low LC tilt angle of positive type NLC
$({\Delta}n>0)$ on the rubbed homeotropic PI surface by increasing temperature and time was measured. The EO characteristics of the novel ECB mode using control of tilt angle on the homeotropic surface than that of conventional OCB cell can be improved. We suggest that the developed the Novel ECB cell using control of tilt angle on the homeotropic surface is a promising technique for the achievement of a fast response time and a high contrast ratio. -
본 연구는 Diluted Ceria 입자를 사용한
$SiO_2$ (Oxide) CMP 현상에 대한 내용이다. Ceria Slurry의 경우 Silica Slurry와 비교하였을 때 Oxide Wafer 표면과 축합 화학반응을 일으키며 Chemistry Dominant한 CMP Mechanism을 따르고, Wafer Center Removal Rate(RR) Fast 의 특성을 가진다. Ceria Slurry의 문제점인 연마 불균일도를 해결하기 위해 Tribological System을 이용하였다. CMP Tribology는 Pad-Slurry 유막-Wafer의 System을 가지며 윤활막에 작용하는 마찰계수(COF)가 주요 인자이다. Tribology에 적용되는 Stribeck Curve를 통해 Slurry 윤활막의 두께(h) 정도를 예상할 수 있으며, 이 윤활막의 두께를 조절함으로써 Uniformity 향상이 가능하다. 이 Ceria Slurry CMP의 연마 불균일도를 향상시킬 수 있는 방법으로 pH 조절 및 점도 증가가 있다. Ceria 입자 CMP는 분산액의 pH 변화에 강한 작용을 받게 되며 PH5 근방에서 최적화된 Uniformity가 가능하다. 점도를 증가시키는 경우 유막 h가 증가하게 되어 Ceria Slurry의 유동이 균일 분포 상태에 가까워지며 Wafer Uniformity 향상이 가능하다. -
Shin, Sang-Hee;Kim, Mun-Ki;Koh, Young-Ho;Kim, Ho-Young;Lee, Jae-Dong;Hong, Chang-Ki;Yoon, Young-Bin 125
Chemical Mechanical Polishing(CMP) in semiconductor production is characterized its output property by Removal Rate(RR) and Non-Uniformity(NU). Some Previous works shows that RR is determined by production of pressure and velocity and NC is also largely affected by velocity of flow-field during CMP. This study is about the direct measurement of velocity of slurry during CMP and reconstruction whole flow-field by Particle Image Velocimetry(PIV) Techniques. Typical PIV system is tuned adequately for inspecting CMP and Slurry Flow-field is measured by changing both Pad RPM and Carrier RPM. The results show that velocity is majorly determined not by Carrier RPM, but by Pad RPM. -
전 세계적으로 반도체 연마제용으로 silica를 많이 사용하고 있으며, 주로 fumed silica 및 colloidal silica로 구분되어진다. 반도체 연마제로서의 가장 중요한 요소는 연마율, defect 및 uniformity 등이 있으며, 현재 defect 및 uniformity는 많은 연구개발을 통하여 증진되었지만 반도체 생산량과 직접 관련된 연마율을 증가시키는 기술은 화학약품 및 slurry의 농도 증가로만 가능하다. 이에 연마제의 전반적인 기능을 상승시켜 기존보다 연마율은 높이고, 결함율을 낮추며, 120nm 이상의 입자크기를 제조하여도 근일한 입도 분포도를 나타내어주고, 장기간 안정하게 사용가능하고, 친환경적인 반도체 연마제를 개발하였다.
-
현재 사용 되고 있는 Cu CMP slurry에서 pH 적정제의 역할은 slurry의 연마 거동을 결정 하는 중요한 요소이다. 일반적으로 사용 되고 있는 적정제로는
$NH_4OH$ , KOH가 있다. 구리 CMP용 슬러리내에서 CMP 공정 중에 과산화수소$(H_2O_2)$ 의 영향에 관한 연구는 있으나, 과산화수소의 농도 (vol %) 변화에 따라서 pH적정제가 하는 역할과 반응이 CMP 공정중에 미치는 영향에 관해서 연구된 바 없다. 이 논문에서는 pH 적정제가 과산화수소의 농도에 따라서 산성, 중성, 염기성에서 어떠한 변화를 일으키는지에 관해서 dynamic etch rate과 removal rate을 비교 하였고, static etch rate을 이용하여 Cu 표면이 etching 되는 속도를 비교 하였다. 그 결과, 산성과 중성에서는$NH_4OH$ 와 KOH의 경향성은 비슷하였으나, 염기성에서는 KOH를 첨가한 경우 변화가 나타나지 않았다. 따라서, pH가 염기성으로 갈수록 과산화수소의 저 농도에서$NH_4OH$ 의 영향이 더 커짐을 알 수 있었다. -
Cho, Gyung-Su;Lee, Yang-Won;Kim, Dae-Young;Lee, Jin-Kyu;Kim, Hwal-Pyo;Jeong, Jae-Deok;Ha, Hyeon-U;Jeong, Ho-Seok;Yang, Won-Sik 136
The requirement of planarity, such as with-in-wafer nonuniformity, post thickness range, have become increasingly stringent as critical dimensions of devices are decreased and a better control of a planarity become important. The key factors influencing the planarity capability of the CMP process have been well understood through numerous related experiments. These usually include parameters such as process pressures, relative velocities, slurry temperature, polishing pad materials and polishing head structure. Many study have been done about polishing pad and its groove structure because it's considered as one of the key factors which can decide wafer uniformity directly. But, not many study have been done about polisher head structure, especially about polisher head plate design. The purpose of this paper is to know how the plate structure can affect wafer uniformity and how to deteriorate wafer yield. Furthermore, we studied several new designed plate to improve wafer uniformity and also improve wafer yield. -
Chemical mechanical polishing(CMP) is essential technology to secure the depth of focus through the global planarization of wafer. But a variety of defects such as contamination, scratch, dishing, erosion and corrosion are occurred during CMP. Especially, dishing and erosion defects increase the resistance because they decrease the interconnect section area, and ultimately reduce the life time of the semiconductor. Due to this dishing and erosion must be prohibited. The pattern density and size in chip have a significant influence on dishing and erosion occurred over-polishing. Decreasing of abrasive concentration results in advanced pattern selectivity which can lead the uniform removal in chip and decrease of over-polishing. The fixed abrasive pad was applied and tested to reduce dishing and erosion in this paper. Consequently, reduced dishing and erosion was observed in CMP of tungsten pattern wafer with proposed fixed abrasive pad and chemicals.
-
Back gate가 있는
$SiO_2$ 기판에 SWCNT(Single Walled Carbon Nanotube) 분산액을 도포하여 SWCNT 네트워크를 형성하였다. 금선을 shadow mask로 사용하여$10{\mu}m$ 간격의 2단자 금 전극을 열 증착을 통해 형성하였다. 현미경 포토리소그래피를 통하여 시료의 가장자리를 Photoresist로 남겨두어 시료 가장자리의 나노튜브를 통한 단락을 방지하였다. 전류-전압 특성, 게이트 특성과 온도 의존성은 DAQ(Data Aquisition) 보드와 Keithley 2400을 사용하여 측정하였고, Labview 기반 프로그램을 통해 제어하였다. 음의 게이트 전압에서의 저항 감소를 관측함으로써 네트워크 상태에서의 게이트 의존성이 P 형 반도체 성질을 보여줌을 알 수 있었으며, 온도가 올라감에 따라 저항이 지수 함수적으로 증가하는 것으로부터 네트워크의 온도 의존성이 금속성 온도 의존성을 가지는 것을 확인하였다. -
Yim, Chan-Young;Kim, Kang-Hyun;Won, Boo-Ne;Kang, Hae-Yong;Kim, Gyu-Tae;Kim, Sang-Sig;Kang, Won 151
화합물 반도체 단일 나노선의 에칭 효과를 보기 위하여 에칭 용액과 시간을 달리하면서 전류-전압 특성을 측정하였다. 측정을 위한 단일 나노선 소자는 Electron beam lithography를 이용하여 전극을 top contact 방식으로 만들었다. 에칭은 식각과정에서 현상된 상태의 패턴에서 수행하며 금속 전극과 나노선 접합 부분만을 에칭 하였다. 에칭용액은 Buffered Oxide Etchant(BOE)을 이용하였으며 에칭 시간은 수 십초에서 수 십분까지 다양하게 하였다. 전압-전류 특성 측정결과에서 에칭 용액과 에칭 시간에 따라 전류가 증가하는 것을 확인 할 수 있었다. 이러한 효과는 나노선 외곽에 비정질 산화층의 제거 효과로 인한 것으로 설명할 수 있다. -
질산화 (SiON) 막은 메모리와 광통신 소자 제조를 위해 활발한 응용이 기대되는 중요한 재료이다. SiON막 증착특성에 관해서는 많은 연구보고가 있었으나, 식각특성에 대해서는 그 발표가 매우 미미하다. 이에 본 연구에서는 PECVD를 이용하여 증착한 SION 박막을 Ni 마스크를 이용하여 식각하였다. 공정변수에는 소스 전력, 바이어스 전력, 압력, 그리고
$C_2F_6$ 유량 등이며, 각 변수의 실험범위는 400-1000 W, 30-90 W, 6-12 mTorr, 그리고 30-80 sccm이다. 식각률은 소스전력의 증가에 따라 233 에서 444 nm/min으로 거의 선형적으로 증가하였다. 비슷한 경향성이 바이어스 전력의 증가에 따라 관찰되었다. 이는 식각률이 플라즈마 밀도와 이온충돌 에너지에 강하게 영향을 받고 있음을 의미한다. 6-10 mTorr의 압력범위와 30-50 sccm의$C_2F_6$ 유량범위 내에서의 식각률의 변화는 매우 미미하였다. 그러나 고압 (12 mTorr)과 고 유량 (60 sccm)에서 식각률은 크게 상승하거나 감소하였다. 전체 실험범위에서 관측된 식각률의 범위는 233-444 nm/min이었다. -
플라즈마 공정 모델 개발에 역전파 신경망이 가장 많이 응용되고 있으나, 관여하는 다수의 학습인자로 인해 그 최적화가 매우 어렵다. Radial basis function network (RBFN)은 관여하는 학습인자의 수가 적어 그 최적화가 상대적으로 용이하지만, 두인자의 다양한 조합에 의해 RBFN의 예측성능이 상당히 영향을 받을 수 있다. 본 연구에서는 학습인자 상호간의 작용을 유전자 알고리즘 (genetic algorithm-GA)을 이용하여 최적화하는 기법을 소개한다. 제안하는 알고리즘을 광도파로 제작을 위해 수행한 실리카 식각공정 데이터에 적용하여 평가하였다. 평가에 이용된 식각 응답은, 실리카 식각률, aluminum (Al) 식각률, Al 선택비, 그리고 실리카 프로파일 각도이다. 최적화한 모델은 종래의 모델과 비교하였으며, 그 향상도는 실리카 식각률, Al 식각률, Al 선택비, 그리고 실리카 프로파일 각도에 대해서 각 기 0.8%, 32.4%, 20.3%, 1.3% 등이었다. Al 식각률과 선택비에 대해서 예측성능은 상당이 향상되었다.
-
Kim, S.B.;Lee, J.W.;Park, Y.K.;Shin, S.H.;Lee, E.C.;Lee, D.J.;Bae, D.I.;Lee, S.H.;Roh, B.H.;Chung, T.Y.;Kim, G.H. 163
모바일향 90nm DRAM을 개발하기 위하여 비대칭 채널 구조를 갖는 Recess Channel Array Transistor (RCAT)로 cell transistor를 구현하였다. DRAM cell transistor에서 junction leakage current 증가는 DRAM retention time 열화에 심각한 영향을 미치는 요인으로 알려져 있으며, DRAM의 minimum feature size가 점점 감소함에 따라 short channel effect의 영향으로 junction leakage current는 더욱 더 증가하게 된다. 본 실험에서는 short channel effect의 영향에 의한 junction leakage current를 감소시키기 위하여 Recess Channel Array Transistor를 도입하였고, cell transistor의 채널 영역을 비대칭으로 형성하여 data retention time을 증가시켰다. 비대칭 채널 구조을 이용하여 Recess Channel Array Transistor를 구현한 결과, sub-threshold 특성과 문턱전압, Body effect, 그리고, GIDL 특성에는 큰 유의차가 보이지 않았고, I-V특성인 드레인 포화전류(IDS)는 대칭 채널 구조인 transistor 대비 24.8% 정도 증가하였다. 그리고, data retention time은 2배 정도 증가하였다. 본 실험에서 얻은 결과는 향후 저전압 DRAM 개발과 응용에 상당한 기여를 할 것으로 기대된다. -
The stochiometric mix of evaporating materials for the
$CuGaT_2$ single crystal thin films was prepared from horizontal furnance. Using extrapolation method of X-ray diffraction patterns for the$CuGaTe_2$ polycrystal, it was found tetragonal structure whose lattice constant$a_0$ and$c_0$ were 6.025${\AA}$ and 11.931${\AA}$ , respectively. To obtain the single crystal thin films,$CuGaTe_2$ mixed crystal was deposited on throughly etched semi-insulator GaAs(100) substrate by the Hot Wall Epitaxy (HWE) system. The source and substrate temperature were$670^{\circ}C$ and$410^{\circ}C$ respectively, and the thickness of the single crystal thin films is$2.1{\mu}m$ . The crystalline structure of single crystal thin films was investigated by the photoluminescence and double crystal X-ray diffraction (DCXD). From the photocurrent spectrum by illumination of perpendicular light on the c - axis of the$CuGaTe_2$ single crystal thin film, we have found that the values of spin orbit coupling${\Delta}s.o$ and the crystal field splitting${\Delta}cr$ were$0.079\underline{1}eV$ and$0.246\underline{3}eV$ at 10 K, respectively. From the PL spectra at 10K, the peaks corresponding to free bound excitons and D-A pair and a broad emission band due to SA is identified. The binding energy of the free excitons are determined to be$0.047\underline{0}eV$ and the dissipation energy of the donor-bound exciton and acceptor-bound exciton to be$0.049\underline{0}eV$ ,$0.055\underline{8}eV$ , respectively. -
The stochiometric
$AgGaSe_2$ polycrystalline mixture of evaporating materials for the$AgGaSe_2$ single crystal thin film was prepared from horizontal furnace. To obtain the single crystal thin films,$AgGaSe_2$ mixed crystal and semi-insulating GaAs(100) wafer were used as source material and substrate for the Hot Wall Epitaxy (HWE) system, respectively. The source and substrate temperature were fixed at$630^{\circ}C$ and$420^{\circ}C$ , respectively. The thickness of grown single crystal thin films is$2.1{\mu}m$ . The single crystal thin films were investigated by photoluminescence and double crystal X-ray diffraction(DCXD) measurement. The carrier density and mobility of$AgGaSe_2$ single crystal thin films measured from Hall effect by van der Pauw method are$4.89{\times}10^{17}\;cm^{-3},\;129cm^2/V{\cdot}s$ at 293K, respectively. From the photocurrent spectrum by illumination of perpendicular light on the c - axis of the$AgGaSe_2$ single crystal thin film, we have found that the values of spin orbit splitting${\Delta}S_o$ and the crystal field splitting${\Delta}C_r$ were 0.1762 eV and 0.2494 eV at 10 K, respectively. From the photoluminescence measurement of$AgGaSe_2$ single crystal thin film, we observed free excition$(E_X)$ observable only in high quality crystal and neutral bound exciton$(D^o,X)$ having very strong peak intensity And, the full width at half maximum and binding energy of neutral donor bound excition were 8 meV and 14.1 meV, respectively. By Haynes rule, an activation energy of impurity was 141 meV. -
The stochiometric mixture of evaporating materials for the
$ZnIn_2S_4$ single crystal thin film was prepared from horizontal furnace. To obtain the$ZnIn_2S_4$ single crystal thin film,$ZnIn_2S_4$ mixed crystal was deposited on throughly etched semi-insulating GaAs(100) in the Hot Wall Epitaxy(HWE) system. The source and substrate temperature were$610^{\circ}C$ and$450^{\circ}C$ , respectively and the growth rate of the$ZnIn_2S_4$ sing1e crystal thin film was about$0.5\;{\mu}m/hr$ . The crystalline structure of$ZnIn_2S_4$ single crystal thin film was investigated by photoluminescence and double crystal X-ray diffraction(DCXD) measurement. The carrier density and mobility of$ZnIn_2S_4$ single crystal thin film measured from Hall effect by van der Pauw method are$8.51{\times}10^{17}\;cm^{-3}$ ,$291\;cm^2/V{\cdot}s$ at$293_{\circ}\;K$ , respectively. From the photocurrent spectrum by illumination of perpendicular light on the c - axis of the$ZnIn_2S_4$ single crystal thin film, we have found that the values of spin orbit splitting${\Delta}S_O$ and the crystal field splitting${\Delta}Cr$ were 0.0148 eV and 0.1678 eV at$10_{\circ}\; K$ , respectively. From the photoluminescence measurement of$ZnIn_2S_4$ single crystal thin film, we observed free excition$(E_X)$ typically observed only in high quality crystal and neutral donor bound exciton$(D^{o},X)$ having very strong peak intensity The full width at half maximum and binding energy of neutral donor bound excition were 9 meV and 26 meV, respectively, The activation energy of impurity measured by Haynes rule was 130 meV. -
The
$p-CdIn_2Te_4$ single crystal was grown in the three-stage vertical electric furnace by using Bridgman method. The quality of the grown crystal has been investigated by the x-ray diffraction and the photoluminescence measurements. From the Photoluminescence spectra of the as-grown$CdIn_2Te_4$ crystal and the various heat-treated crystals, the$(D^{o},X)$ emission was found to be the dominant intensity in the photoluminescence spectrum of the$CdIn_2Te_4:Cd$ , while the$(A^{o},X)$ emission completely disappeared in the$CdIn_2Te_4:Cd$ . However, the$(A^{o},X)$ emission in the photoluminescence spectrum of the$CdIn_2Te_4:Te$ was the dominant intensity like an as-grown$p-CdIn_2Te_4$ crystal. These results indicated that the$(D^{o},X)$ is associated with$V_{Te}$ acted as donor and that the$(A^{o},X)$ emission is related to$V_{Cd}$ acted as acceptor, respectively. The$p-CdIn_2Te_4$ crystal was found to be obviously converted into the n-type after annealing in the Cd atmosphere. The origin of$(D^{o},\;A^{o})$ emission and its TO Phonon replicas is related to the interaction between donors such as$V_{Te}$ or$Cd_{int}$ , and accepters such as$V_{Cd}$ or$Te_{int}$ . Also, the In in the$CdIn_2Te_4$ was confirmed not to form the native defects because it existed in the stable form of bonds. -
The dry etch behavior of PZT thin films was investigated in
$BCl_3/N_2$ plasma. The experiments were carried out with measuring etch rates and selectivities of PZT to$SiO_2$ as a function of gas concentration and input rf power, chamber pressure. The maximum etch rate was 126 nm/min when 30%$N_2$ was added to$BCl_3$ chemistry. Also, as input rf power increases, the etch rate of PZT thin films was increased. Langmuir probe measurement showed the noticeable influence of$BCl_3/N_2$ mixing ratio on electron temperature and electron density as input rf power increased. The variation of Cl radical density as plasma parameters changed was examined by Optical Emission Spectroscopy (OES) analysis. According to X-ray diffraction (XRD) analysis, PZT thin films were damaged in plasma and an increase in (100), (200) and (111) phases showed the improvement in structure of the PZT thin films after the$O_2$ annealing process. -
In this study, PST thin films were etched with inductively coupled
$Cl_2/(Cl_2+Ar)$ plasmas. The etch characteristics of PST thin films as a function of$Cl_2/(Cl_2+Ar)$ gasmixtures were analyzed by using quadrupole mass spectrometer (QMS). Systematic studies were carried out as a function of the etching parameters, including the RF power and the working pressure. The maximum PST film etch rate is 56.2 nm/min, because a small addition of$Cl_2$ to the$Cl_2/Ar$ mixture increased the chemical effect. It was proposed that sputter etching is the dominant etching mechanism while the contribution of chemical reaction is relatively low due to low volatility of etching products. -
Ferroelectric
$Pb(Zr_{0.52}Ti_{0.48})O_3$ (PZT) 박막을$Pt(111)/Ti/SiO_2/Si$ 기판위에 증착되었고, 수소 후열처리 후의 특성변화를 연구하였다. 동시에 10 nm의$(Pb_{0.72}La_{0.28})Ti_{0.94}O_3$ (PLT) buffer를 사용한 PZT 박막의 수소 후열처리 효과를 관찰하였다. PZT 박막의 경우, 수소 후열처리 전과 후에 강유전 특성이 현저하게 감소한 반면, PLT buffer가 사용된 PZT 박막의 경우, 강유전 특성에 거의 변화가 없었다. 이는 PLT buffer를 사용함으로써 PZT 박막의 배향성이 향상되고, 이에 따라 forming gas에 의한 수소원자가 박막 내로의 침투가 어렵게 된다. 따라서 수소원자에 대한 PZT 박막의 열화되는 현상이 buffer를 사용하는 경우, 거의 나타나지 않게 된다. -
ZnO films were Prepared by ultrasonic spray Pyrolysis on MgO substrates. The surface morphology and crystallinity were observed as a function of substrate temperature by SEM and XRD, respectively. PL properties of the ZnO films were studied by using Cd-He laser. UV light around 3.37 eV was pronounced in the PL spectra. The origin of the PL peak was discussed.
-
NFGM(Nano-Floating Gate Memory) is a very prospective candidate memory for the next generation with MRAM, PRAM, PoRAM. Among these memory devices for the next generation, NFGM has a lot of merits such as a simple low cost fabrication process, improved retention time, lower operating voltages, high speed program/erase time and so on. Therefore, many intensive researches for NFGM have been performed to improve device performance and reliability, which depends on the ability to control particle size, size distribution, crystallity, areal particle density and tunneling oxide quality. In this paper, we investigate the researches for NFGM up to recently.
-
For the realization of PRAM,
$Ge_2Sb_2Te_5$ (GST) has been employed for the phase transition between the crystal and amorphous states by electrical joule heating. Although there has been a vast amount of results concerning the GST in material aspect for the laser-induced optical storage disc applications, the process-related issues of GST for the PRAM applications have not been reported. In this work, the etching behaviors of GST were investigated when the processing conditions were varied in the high-density helicon plasma. The etching parameters of RF main power, RF bias power, and chamber pressure were fixed at 600 W, 150 W, and 5 mTorr, respectively. For the etching processes, gas mixtures of$Ar/Cl_2$ ,$Ar/CF_4$ , and$Ar/CHF_3$ were employed, in which the etching rates and etching selectivities of GST thin film in given gas mixtures were evaluated. From obtained results, it is found that we can arbitrarily design the etching process according to given cell structures and material combinations for PRAM cell fabrications. -
Currently, for satisfying the needs of scaled MOSFET's a high quality thin oxide dielectric is desired because the properties of conventional
$SiO_2$ film are not acceptable for these very small sized transistors. As an alternative gate dielectric have drawn considerable alternation due to their superior performance and reliability properties over conventional$SiO_2$ , to obtain the superior characteristics of ultra thin dielectric films,$N_2O$ grown thin oxynitride has been proposed as a dielectric growtuanneal ambient. In this study the authors observed process characteristics of$N_2O$ grown thin dielectric. In view points of the process characteristics of MOS capacitor, the sheet resistance of 4.07$[\Omega/sq.]$ , the film stress of$1.009e^{10}[dyne/cm^2]$ , the threshold voltage$(V_t)$ of 0.39[V], the breakdown voltage(BV[V]) of 11.45[V] was measured in PMOS. I could achieve improved electrical characteristics and reliability for deep submicron MOSFET devices with$N_2O$ thin oxide. -
The electrical properties and phase transition characteristics of amorphous
$Ge_2Sb_2Te_5$ thin filmThe phase transition between amorphous and crystalline states in chalcogenide semiconductor films can controlled by electric pulses or pulsed laser beam; hence some chalcogenide semiconductor films can be applied to electrically write/erase nonvolatile memory devices, where the low conductive amorphous state and the high conductive crystalline state are assigned to binary states. Memory switching in chalcogenides is mostly a thermal process, which involves phase transformation from amorphous to crystalline state. The nonvolatile memory cells are composed of a simple sandwich (metal/chalcogenide/metal). It was formed that the threshold voltage depends on thickness, electrode distance, annealing time and temperature, respectively. -
숭화법을 이용한 탄화규소(Silicon carbide) 단결정 성장시 사용되는 원료의 상(phase)이 단결정 성장에 미치는 영향을 알아보기 위해 알파형 탄화규소 분말(
${\alpha}-SiC$ powder)과 베타형 탄화규소 분말(${\beta}-SiC$ powder)을 각각 사용하였다. 알파형 탄화규소 분말을 사용한 경우에 단결정(single-crystal)을 성장할 수 있었으나, 베타형 탄화규소 분말을 사용하였을 때에는 다결정(poly-crystal)이 성장되었다. 다결정 형성요인에 관한 EPMA 분석결과, 베타형 탄화규소 분말의 탄소에 대한 실리콘의 원소조성비$(N_{Si}/N_C\;=\;1.57)$ 가 알파형 탄화규소 분말의 경우보다$(N_{Si}/N_C\;=\;0.81)$ 높음을 확인하였다. 따라서 흑연도가니(crucible) 내부의 실리콘 원자가 알파형 탄화규소 분말을 사용하는 경우보다 높은 과포화상태가 되어 종자정 표면에 미세한 실리콘 액적(droplet)이 중착되고 이것으로부터 일정하지 않은 방향성(random orientation)을 갖는 탄화규소 다결정(다양한 방향성을 갖는 다형 포함)이 성장한 것으로 실리콘과 탄소 원소에 대한 EPMA 지도(map) 결과를 통해 확인할 수 있었다. -
The electronic state of ZnO doped with Al, Ga and In, which belong to III family elements in periodic table, was calculated using the density functional theory. In this study, the program used for the calculation on theoretical structures of ZnO and doped ZnO was Vienna Ab-initio Simulation Package (VASP), which is a sort of pseudo potential method. The detail of electronic structure was obtained by the describe variational
$X{\alpha}(DV-X{\alpha})$ (DV-Xa) method, which is a sort of molecular orbital full potential method. The optimized crystal structures obtained by calculations were compared to the measured structure. The density of state and energy levels of dopant elements was shown and discussed in association with properties. -
Iron-doped ZnO films or zinc-doped
$Fe_2O_3$ films were prepared by ultrasonic spray pyrolysis. Iron cholide and zinc acetate were used as a precursor for Fe and Zn, respectively. XRD and SEM were carried out to study the crystallinity and morphology of the films. Atomic composition of the films were identified by EPMA ansd XPS. Resistivity variation with the composition rate was studied. -
A stoichiometric mixture of evaporating materials for
$CuAlSe_2$ single crystal thin films was prepared from horizontal electric furnace. To obtain the single crystal thin films,$CuAlSe_2$ mixed crystal was deposited on thoroughly etched semi-insulating GaAs(100) substrate by the hot wall epitaxy (HWE) system. The source and substrate temperatures were$680^{\circ}C$ and$410^{\circ}C$ , respectively. The crystalline structure of the single crystal thin films was investigated by the photoluminescence and double crystal X-ray diffraction (DCXD). The carrier density and mobility of$CuAlSe_2$ single crystal thin films measured with Hall effect by van der Pauw method are$9.24{\times}10^{16}\;cm^{-3}$ and$295\;cm^2/V{\cdot}s$ at 293 K, respectively. The temperature dependence of the energy band gap of the$CuAlSe_2$ obtained from the absorption spectra was well described by the Varshni's relation,$E_g(T)\;=\;2.8382\;eV\;-\;(8.68{\times}10^{-4}\;eV/K)T^2/(T+155K)$ . The crystal field and the spin-orbit splitting energies for the valence band of the$CuAlSe_2$ have been estimated to be 0.2026 eV and 0.2165 eV at 10K, respectively, by means of the photocurrent spectra and the Hopfield quasicubic model. These results indicate that the splitting of the${\Delta}so$ definitely exists in the${\Gamma}_5$ states of the valence band of the$CuAlSe_2$ . The three photocurrent peaks observed at 10K are ascribed to the$A_1-$ ,$B_1-$ , and$C_1$ -exciton peaks for n = 1. -
The stochiometric mix of evaporating materials for the
$CuInS_2$ single crystal thin films was prepared from horizontal furnance. Using extrapolation method of X-ray diffraction patterns for the$CuInS_2$ polycrystal, it was found tetragonal structure whose lattice constant$a_0$ and$c_0$ were$5.524\;{\AA}$ and$11.142\;{\AA}$ , respectively. To obtain the single crystal thin films,$CuInS_2$ mixed crystal was deposited on throughly etched semi-insulator GaAs(100) substrate by the hot wall epitaxy (HWE) system. The source and substrate temperature were 640 t and 430 t, respectively and the thickness of the single crystal thin films was$2{\mu}m$ . Hall effect on this sample was measured by the method of van dot Pauw and studied on carrier density and temperature dependence of mobility. The carrier density and mobility deduced from Hall data are$9.64{\times}10^{22}/m^3,\;2.95{\times}10^{-2}\;m^2/V{\cdot}s$ at 293 K, respectively The optical energy gaps were found to be 1.53 eV at room temperature. From the photocurrent spectrum by illumination of perpendicular light on the c - axis of the thin film, we have found that the values of spin orbit coupling splitting${\Delta}So$ and the crystal field splitting${\Delta}Cr$ were 0.0211 eV and 0.0045 eV at 10 K, respectively. From PL peaks measured at 10K, 807.7nm (1.5350ev) mean Ex peak of the free exciton emission, also 810.3nm (1.5301eV) expresses$I_2$ peak of donor-bound exciton emission and 815.6nm (1.5201eV) emerges$I_1$ peak of acceptor-bound exciton emission. In addition, the peak observed at 862.0nm (1.4383eV) was analyzed to be PL peak due to donor-acceptor pair(DAP). -
A stoichiometric mixture of evaporating materials for
$CuInSe_2$ single crystal thin films was prepared from horizontal electric furnace. To obtain the single crystal thin films,$CuInSe_2$ mixed crystal was deposited on thoroughly etched semi-insulating GaAs(100) substrate by the hot wall epitaxy (HWE) system. The source and substrate temperatures were$620^{\circ}C$ and$410^{\circ}C$ , respectively. The crystalline structure of the single crystal thin films was investigated by the photoluminescence and double crystal X-ray diffraction (DCXD). The carrier density and mobility of$CuInSe_2$ single crystal thin films measured with Hall effect by van der Pauw method are$9.62{\times}10^{l6}\;cm^{-3}$ and$296\;cm^2/V{\cdot}s$ at 293 K, respectively. The temperature dependence of the energy band gap of the$CuInSe_2$ obtained from the absorption spectra was well described by the Varshni's relation,$E_g(T)\;=\;1.1851\;eV\;-\;(8.99{\times}10^{-4}\;eV/K)T^2/(T+153K)$ . The crystal field and the spin-orbit splitting energies for the valence band of the$CuInSe_2$ have been estimated to be 0.0087 eV and 0.2329 eV at 10K, respectively, by means of the photocurrent spectra and the Hopfield quasicubic model. These results indicate that the splitting of the${\Delta}_{so}$ definitely exists in the$\Gamma_6$ states of the valence band of the$CuInSe_2$ . The three photocurrent peaks observed at 10K are ascribed to the$A_1-$ ,$B_1-$ , and$C_1$ -exciton peaks for n = 1. -
A stoichiometric mixture of evaporating materials for
$CdIn_2S_4$ single crystal thin films was prepared from horizontal furnace. To obtain the single crystal thin films,$CdIn_2S_4$ mixed crystal was deposited on thoroughly etched semi-insulating GaAs(100) substrate by hot wall epitaxy(HWE) system. The source and substrate temperatures were$630^{\circ}C$ and$420^{\circ}C$ respectively. The crystalline structure of single crystal thin films was investigated by the photoluminescence and double crystal X-ray diffraction(DCXD). The carrier density and mobility of$CdIn_2S_4$ single crystal thin films measured from Hall effect by van der Pauw method are$9.01{\times}10^{16}\;cm^{-3}$ and$219\;cm^2/V{\cdot}s$ at 293 K, respectively. From the optical absorption measurement, the temperature dependence of energy band gap on$CdIn_2S_4$ single crystal thin films was found to be$E_g(T)\;=\;2.7116\;eV\;-\;(7.74{\times}10^{-4}\;eV)T^2/(T+434)$ . After the as-grown$CdIn_2S_4$ single crystal thin films was annealed in Cd-, S-, and In-atmospheres, the origin of point defects of$CdIn_2S_4$ single crystal thin films has been investigated by the photoluminescence(PL) at 10 K. -
Boron Phosphide films were deposited on (111) Si substrate at
$650^{\circ}C$ , by the reaction of$B_2H_6$ with PH, using APCVD.$N_2$ was carried out as carrier gas. The optimal gas rates were 20 ml/min for B2H6, 60 ml/min for PH3 and 1 l/min for N2. After as grown the films were insitu annealed fur 1hour in$N_2$ ambient at$550^{\circ}C$ and measured. The measurement of AFM shows that the average surface roughness is$10.108{\AA}$ for the reaction temperature at$450^{\circ}C$ and$29.626{\AA}$ fur the reaction temperature at$650^{\circ}C$ . The measurement of XRD shows that the films have the orientation of(1 0 1). Also, the measurement of AES is shown that the films have$B_{13}P_2$ stoichiometry. For the Result of microwaves absorbtion properties using VNA, it obtained the permittivity of BP about 8 between$1.5{\sim}2.5GHz$ . In this study, it obtained the BP thin film by deposited in atmosphere pressure And BP thin film can be after to applicate as microwave absolution material is obtained. -
Park, Jae-Young;Yi, Wook-Yeol;Hyung, Yong-Woo;Nam, Seok-Woo;Lee, Hyeon-Deok;Song, Chang-Lyong;Kang, Ho-Kyu;Roh, Yong-Han 247
반도체 소자의 제조에 있어 실리콘 표면에 성장한 자연산화막을 제거하기 위해 일반적으로 습식 세정 기술이 이용되어 왔다. 하지만 소자의 최소 선폭(design rule)이 nano급으로 고집적화 됨에 따라 contact hole 바닥의 자연산화막을 깨끗이 제거하는데 있어서 그 한계를 나타나고 있다. 이에 대한 효과적인 대안 공정으로 가스 건식 세정 기술이 연구되고 있다. 본 논문에서는 한 번에 50매 이상의 웨이퍼를 처리함으로써 생산성 측면에서 월등한 배치식 설비에서 원거리 플라즈마(remote plasma) 장치에서 2.450Hz의 마이크로웨이브(${\mu}$ -wave)에 의해 형성시킨 수소라디칼과$NF_3$ 가스를 이용하여 실리콘에 결함을 주지 않고 자연산화막을 선택적으로 제거하는 공정에 대해 고찰하였다. AFM을 이용한 표면분석, TEM을 이용한 물성분석, 그리고 ToF-SIMS 및 XPS를 이용한 화학 분석을 습식 및 건식 세정을 비교 평가한 결과, 건식 세정 공정이 실리콘 표면에 결함을 주지 않고 자연산화막을 제거 할 수 있음을 확인하였다. 산화막$(SiO_2)$ , 질화막$(Si_3N_4)$ , 그리고 다결정 실리콘(Poly-Si) 등의 각 막질별 식각 특성을 고찰하였으며,$NH_3$ 의 캐리어 가스인$N_2$ 의 주입량을 조절함으로써 수소라디칼 형성 효율의 개선이 가능하였으며, 이로부터 게이트와 소스/드레인 사이를 절연하기 위해 이용되는 질화막의 식각 선택비를 2배 정도 개선할 수 있었다. nano급 소자에 실장하여 평가한 결과에서 불산(HF)에 의한 습식 세정 방식에 비하여 약$20{\sim}50%$ 정도의 contact 저항 감소 효과가 있음이 확인되었다.두 소자 모두$40mA/cm^2$ 에서 이상적인 화이트 발란스와 같은(0.33,0.33)의 색좌표를 보였다.epsilon}_0=1345$의 빼어난 압전 및 유전특성과$330^{\circ}C$ 의 높은$T_c$ 를 보였고 그 조성의 vibration velocity는 약4.5 m/s로 나타났다.한 관심이 높아지고 있다. 그러나 고 자장 영상에서의 rf field 에 의한 SAR 증가는 중요한 제한 요소로 부각되고 있다. 나선주사영상은 SAR 문제가 근원적으로 발생하지 않고, EPI에 비하여 하드웨어 요구 조건이 낮아 고 자장에서의 고속영상방법으로 적합하다. 본 논문에서는 고차 shimming 을 통하여 불균일도를 개선하고, single shot 과 interleaving 을 적용한 multi-shot 나선주사영상 기법으로$100{\times}100$ 에서$256{\times}256$ 의 고해상도 영상을 얻어 고 자장에서 초고속영상기법으로 다양한 적용 가능성을 보였다. 연구에서 연구된$[^{18}F]F_2$ 가스는 친핵성 치환반응으로 방사성동위원소를 도입하기 어려운 다양한 방사성의 약품개발에 유용하게 이용될 수 있을 것이다.었으나 움직임 보정 후 영상을 이용하여 비교한 경우, 결합능 변화가 선조체 영역에서 국한되어 나타나며 그 유의성이 움직임 보정 전에 비하여 낮음을 알 수 있었다. 결론: 뇌활성화 과제 수행시에 동반되는 피험자의 머리 움직임에 의하여 도파민 유리가 과대평가되었으며 이는 이 연구에서 제안한 영상정합을 이용한 움직임 보정기법에 의해서 개선되었다. 답이 없는 문제, 문제 만들기, 일반화가 가능한 문제 등으로 보고, 수학적 창의성 중 특히 확산적 사고에 초점을 맞추어 개방형 문제가 확 -
Park, Byoung-Jun;Kim, Kyung-Hwan;Kim, Hyun-Suk;Cho, Kyoung-Ah;Kim, Jin-Hyong;Lee, Joon-Woo;Kim, Sang-Sing 252
Various Semiconductor/Metal structured nanowires were synthesized from the simple thermal annealing of ball-milled compound powders and the thermal evaporation of metals. Their structural properties were investigated by Scanning Electron Microscopy(SEM) and Transmission Electron Microscopy(TEM), Energy Dispersive X-ray spectroscopy(EDX). Depending on the type of metals and the material of nanowires, uniform somiconductor/metal nanowires(GaN/Al, GaN/Ag) or isolated metal particles on semiconductor nanowires$(SnO_2/Ti,\;Si/Ti)$ were formed on the surface of nanowires. -
Lee, Hyung-Dong;Pieh, Sung-Hoon;Chang, Yu-Jin;Kim, Gyu-Tae;Park, Sung-Joon;Kim, Yong-Kwan;Ha, Jeong-Sook 256
[$V_2O_5$ ] 나노선의 구조 분석을 위해 STM(Scanning Tunneling Microscopy)과 TEM(Transmission Electron Microscopy)을 이용하여 단일$V_2O_5$ 나노선의 이미지를 얻었다.$V_2O_5$ 나노선은 상온에서 ammonium metavanadate$(NH_4VO_3)$ 와 양이온 교환수지$(DOWEX50{\times}8-100)$ 를 2차 증류수에 섞어 합성하였다. STM 시료는 3-APS(3-aminopropyltriethoxysilane)를 전 처리한 실리콘 기판에$V_2O_5$ 나노선을 올려 만들었고, TEM 시료는 200 mesh/copper 그리드에 침전시켜 준비하였다. STM과 TEM의 결과로부터$V_2O_5$ 나노선의 기하학적 단면이$1.5nm{\times}10nm$ 에 거의 근사하는 것을 확인하였으며 두 이미지의 비교를 통해$V_2O_5$ 나노선의 표면상태에 대해 논의하였다. -
During the last few years, there have been many efforts on the fabrication of electronic and optical devices based on semiconductor nanowires. Room-temperature ultraviolet lasing in GaN nanowire, ultraviolet light sensing in ZnO nanowire, and dramatically improved hall mobility in Si nanowire have been demonstrated in this article. The studies on semiconductor nanowire based electronic and optical device is reviewed.
-
[
$Bi_{3.25}La_{0.75}Ti_3O_{12}$ ] (BLT) thin films were prepared by using metal organic decomposition method onto the$LaNiO_3$ (LNO) bottom electrode. Both the structure and morphology of the films were analyzed by x-ray diffraction (XRD) and atomic force microscope (AFM). Even at low temperatures ranging from 450 to$650^{\circ}C$ , the BLT thinfilms were successfully deposited on LNO bottom electrode and exhibited (117) orientation. The BLT thin films annealed as low as$600^{\circ}C$ showed excellent ferroelectricity, higher remanent polarization and no significant degradation of switching charge at least up to$5{\times}10^9$ switching cycles at a frequency of 100 kHz and 5 V. For the annealing temperature of$600^{\circ}C$ , the remanent polarization Pr and coercive field were$23.5\;C/cm^2$ and 120 kV/cm, respectively. -
The phase transition from amorphous to crystalline states, and vice versa, of
$Ge_2Sb_2Te_5$ films by applying electrical pulses have been studied. This material can be used as nonvolatile memory. The reversible phase transition between the amorphous and crystalline states, which is accompanied by a considerable change in electrical resistivity, is exploited as means to store bits of information. The nonvolatile memory cells are composed of a simple sandwich (metal/chalcogenide/metal). It was formed that the threshold voltage depends on thickness, electrode distance, annealing time and temperature, respectively. -
플라즈마 식각공정은 소자제조를 위한 미세 패턴닝 제작에 이용되고 있다. 공정 메커니즘의 정성적 해석, 최적화, 그리고 제어를 위해서는 컴퓨터 예측모델의 개발이 요구된다. 역전파 신경망 (backpropagation neural network-BPNN) 모델을 개발하는 데에는 다수의 학습인자가 관여하고 있으며, 가장 그 최적화가 어려운 학습인자는 초기웨이트이다. 모델개발시, 초기웨이트는 random 값으로 설정이 되며, 이로 인해 초기웨이트의 최적화가 어렵다. 본 연구에서는 유전자 알고리즘 (genetic algorithm-GA)을 이용하여 BPNN의 초기웨이트를 최적화하였으며, 이를 식각공정 모델링에 적용하여 평가하였다. 실리카 식각공정 데이터는
$2^3$ 인자 실험계획법을 이용하여 수집하였으며, GA에 관여하는 두 확률인자의 영향을 42 인자 실험계획법을 이용하여 최적화 하였다. 종래의 모델에 비해, 최적화된 모델은 실리카 식각률, Al 식각률, Al 선택비, 그리고 프로파일 응답에 대해서 각 기 24%, 13%,, 16%, 그리고 17%의 향상률을 보였다. 이는 제안된 최적화 기법이 플라즈마 모델의 예측성능을 증진하는데 효과적으로 응용될 수 있음을 의미한다. -
Plasma enhanced chemical vapor deposition (PECVD) of silicon nitride (SiN) is a proven technique for obtaining layers that meet the needs of surface passivation and anti-reflection coating. In addition, the deposition process appears to provoke bulk passivation as well due to diffusion of atomic hydrogen. This bulk passivation is an important advantage of PECVD deposition when compared to the conventional CVD techniques. A further advantage of PECVD is that the process takes place at a relatively low temperature of 300t, keeping the total thermal budget of the cell processing to a minimum. In this work SiN deposition was performed using a horizontal PECVD reactor system consisting of a long horizontal quartz tube that was radiantly heated. Special and long rectangular graphite plates served as both the electrodes to establish the plasma and holders of the wafers. The electrode configuration was designed to provide a uniform plasma environment for each wafer and to ensure the film uniformity. These horizontally oriented graphite electrodes were stacked parallel to one another, side by side, with alternating plates serving as power and ground electrodes for the RF power supply. The plasma was formed in the space between each pair of plates. Also this paper deals with the fabrication of multicrystalline silicon solar cells with PECVD SiN layers combined with high-throughput screen printing and RTP firing. Using this sequence we were able to obtain solar cells with an efficiency of 14% for polished multi crystalline Si wafers of size 125 m square.
-
Dhungel, S.K.;Karunagaran, B.;Kim, Kyung-Hae;Yoo, Jin-Su;SunWoo, H.;Manna, U.;Gangopadhyay, U.;Basu, P.K.;Mangalaraj, D;Yi, J. 280
Multicrystalline silicon wafers were textured in an alkaline bath, basically using sodium hydroxide and in acidic bath, using mainly hydrofluoric acid (HF), nitric acid$(HNO_3)$ and de-ionized water (DIW). Some wafers were also acid polished for the comparative study. Comparison of average reflectance of the samples treated with the new recipe of acidic solution showed average diffuse reflectance less than even 5 percent in the optimized condition. Solar cells were thus fabricated with the samples following the main steps such as phosphorus doping for emitter layer formation, silicon nitride deposition for anti-reflection coating by plasma enhanced chemical vapor deposition (PECVD) and front surface passivation, screen printing metallization, co-firing in rapid thermal processing (RTP) Furnace and laser edge isolation and confirmed >14 % conversion efficiency from the best textured samples. This isotropic texturing approach can be instrumental to achieve high efficiency in mass production using relatively low cost silicon wafers as starting material. -
탄화규소 기판의 에피 박막결함으로는 dislocation, micropipe, pin-hole 및 에피층 표면의 여러 가지 결함들이 있다. 이러한 결함들이 탄화규소 쇼트키 다이오드의 항복전압과 어떠한 상관관계가 존재하는지 알아 보기 위해 탄화규소 쇼트키 다이오드를 제작하고, 제작된 소자의 항복전압을 측정하였다. 에피 박막내의 결함 분포를 알아보기 위해 항복전압 측정후 KOH 용액을 이용한 SiC의 에칭을 수행하였으며, 제작된 여러소자들에 대해 항복전압의 분포도와 결함 분포도를 작성, 비교 관찰하였다.
-
반도체 소자의 파괴 원인으로는 주로 열, 전압, 전류, 진동 및 압력 등이 있다. 이 중에서 전압과 열을 스트레스 인자로 적용하여 가속열화 시험을 진행하였다. 전압 및 열에 의한 소자의 열화정도를 파악하기 위해 현재 상용화되어 있는 Phase Control Thyristor 중
$V_{DRM}\;=\;1500V,\;V_{BRM}\;=\;1500V, \;T_{HS}\;=\;-40{\sim}125^{\circ}C$ 정도의 사양을 가지는 소자를 사용하였다. 열화에 의한 여러 가지 변동특성 중에서 소자의 순방향 및 역방향 항복특성의 변화와 누설전류의 변화에 대해 실험을 통해 알아보았다. -
초고압 케이블의 절연물질로 널리 사용되고 있는 가교 폴리에틸렌의 전기적 특성은 라멜라 결정 조직의 밀도와 라멜라 조직의 성장방향과 밀접한 관련이 있는 것으로 알려지고 있다. 본 연구에서는 반도전 물질에 2종의 첨가제를 이용하여 처방한 3종의 반도전 컴파운드에 대하여 시편 제작 조건에 따른 미세 조직을 제어하여 폴리에틸렌의 미세 조직을 변화시킴으로써, 라멜라 조직이 전기적 특성에 미치는 영향을 분석하였다. 전기적 특성은 AC 절연파괴 전압을 측정하였으며, 미세 조직 변화를 조사하기 위하여 TEM 이미지 분석 통하여 라멜라 밀도를 통계적으로 정성 분석하여 상관관계를 규명하였다.
-
In this paper, we investigated insulation property of epoxy resin which includes elastomers to improve mechanical property, as varied to additive elastomer contents and to moisture absorption. There are four samples with 5[phr], 10[phr], 15[phr] and 20[phr]. we experimented to acquire insulation property(absorption rate, dissolution rate, apparent rate) and electrical property(perittivity,
$tan\delta$ , BDV) during respectively the time such as 6[h], 12[h], 18[h], 24[h] for moisture absorption. According to the experimental results, it is appeared that when the additive elastomer contents are increasing or when specimens remain more moisture, absorption rate, dissolution rate, permittivity and$tan\delta$ are slightly increasing but apparent rate and BDV(break-Down Voltage) strength are decreasing in particular, elastomer content [20]phr appeared remarkedly the more increase or decrease than others. -
To improve mean-life and reliability of power cable in this paper, we have investigated stress-strain and smoothness showing by changing the content of carbon black that is semiconductive additives for underground power transmission. Specimens were made of sheet form with the three of existing resins and the nine of specimens for measurement. Stress-strain of specimens was measured by TENSOMETER 2000. A speed of measurement was 200[mm/min], ranges of stress and strain were
$400(Kgf/cm^2)$ and 600[%]. In addition tests of stress-strain were progressed by aging specimens at air oven. Finally we wished to look for protrusion of specimens by using smoothness tester. According to increasing the content of carbon black from this experimental result, yield stress was increased, while strain was decreased. And stress-strain were decreased some after aging because of oxidation reaction of chemical defect. Lastly surface of specimens smoothed generally. -
In this paper, we investigated
$\phi-q-n$ distribution to inquire discharge characteristic according to variation of electrode. Also, we investigated Weible distribution of discharge number using Weible analysis to search the relationship between a part discharge and shape of electrode. We increased voltage 1[kV] AC 60[Hz] of impressed voltage, data is acquired a generating discharge for 10 seconds after impressing voltage. Also, as we repeated the experiment 10 times at same condition, analyzed foible using data except for maximum and minimum. As the result, we confirmed that discharge generating and discharge quantity were significantly increased narrowing radius of electrode, also discharge frequency was close to shape of a standard distribution. -
In this paper we investigated the behavior of low molecular weight silicone fluids in silicone rubber by W treatment with solvent-extraction and GPC. It was shown that LMW quantity which was extracted by solvent-extraction was decreased in UV treatment time.
$200{\sim}450\;g/mol$ distribution of LMW silicone fluid was contributed to recovery. It was similar to result from corona discharge. Morphological analysis was investigated by scanning electron microscope(SEM) and X-ray diffraction (XRD). The behavior of LMW silicone fluids in silicone rubber which was contributed to recovery was discussed. -
본 논문은 부분방전검출 시험 전에 수행하는 Calibration 신호 주입 및 검출 방법에 대한 연구이다. 사용된 시료는 활선상의 배전용 XLPE 케이블이다. 실험방법은 50kV 내전압기 (750-2CTS, Hipotronics)로부터 공급된 Calibration 신호를 Lemke (LDP-5, LDIC), 오실로스코프 (TDS-3012, Tektronix)와 주파수 분석기 (8563E, HP)를 사용하여 측정하였다. 마지막으로 시험에 사용된 센서는 안테나 특성의 UHF 센서와 고주파 특성의 HFCT 센서이다. 실험결과, Calibration 신호 주입 시 시험 환경 및 센서에 따라 Calibration 신호와 주입방법의 차이가 발생하였다. 따라서 신호의 형태와 인가 전하량에 따른 Calibration 파형과 전하량 변화를 알 수 있었다.
-
In this paper, we studied on the thermal conductivity and a mechanical property of the elastic epoxy. According to industrial development, insulation materials have various properties. They are solid, liquid, gas state, there are various type. Epoxy, a kind of insulation material, demand of not only high hardness but also elastic property. When the electric current flows into the conductor and the place where the heat occurs, this heat becomes the cause which shortens the life of the electrical appliance. Therefore, for the heat occurred transmit quickly, thermal conductivity of the insulation material is highly demanded. We studied on the thermal conductivity of elastic epoxy on the high voltage. In this result, thermal conductivity confirmed that it followed thermal property of mixed epoxy and addictives. Hardness is decreased when addictives increased.
-
The this paper is describing about the electric field distribution due to the roughness in Aramid insulating paper for electric machine. The insulting and pressboard paper have been used at electric insulating of traction motor and generators. There was a change investigation of the electric field distribution according to the roughness of specimen by simulation program of Electro. We studied the electric field distribution using boundary element method for Aramid insulator. We find out an optimal condition in insulating paper of electric machine.
-
Because of its effectiveness for the PD(partial discharge) pattern recognition, PSA(Pulse Sequence Analysis) has been considered as a new analytic method instead of conventional PRPDA(Phase Resolved Partial Discharge Analysis). However, PSA has a big problem that can misanalyze patterns in case of data missing resulting from poor sensitivity because it analyses the correlation between sequential pulses, which leads to hesitate to apply it to on-site. Therefore, in this paper, the problems of PSA such as data missing and noise adding cases were investigated. For the purpose, PD data obtained from various defects including noise adding data were used and analysed, The result showed that both cases can cause fatal errors in recognizing PD patterns. In case of the data missing, the error depends on the kinds of defect and the degree of degradation. Also, it could be noticed that the error due to adding noises was larger than that due to some data missing.
-
Due to the outstanding insulating characteristics, Laminated Polypropylene paper (LPP) and Kraft paper have been used as ac power insulation for conventional cable. Recently, both of LPP and Kraft has been studied as main insulation fur high temperature superconducting (HTS) cable. Ifowever, studies on the use of LPP/Kraft paper for HTS cables are thinly scattered. In this paper, the comparison among LPP, Kraft and LPP/Kraft Samples impregnated with liquid nitrogen
$(LN_2)$ on dielectric insulation characteristics was investigated. It was found from the experimental data that the breakdown strength becomes lower in the order LPP, Lpp/Kraft and Kraft but the lifetime indices n becomes lower in the order Kraft, LPP/Kraft, LPP. Moreover, partial discharge inception and dielectric loss tangent become lower in the order Kraft, LPP, LPP/Kraft. -
To develop the highly sensitive Magneto-Impedance sensor, the amorphous ribbon was micro-processed to meander type sensor pattern and the filter circuit was constructed with this pattern. Its external magnetic field dependence of impedance and the output properties of the filter circuit were investigated. The impedance of the pattern had a peak value at the magnetic field of 10 Oe and its changing ratio was about 280%. The impedance change per unit magnetic field was about 36%, in which the output with high sensitivity and linearity could be obtained. The output sensitivity was about 7%/Oe at bias field of 6 Oe..
-
The superconducting properties of
$YBa_2Cu_3O_x$ with different content impurities of PbO and$BaPbO_3$ were studied. When the PbO was used as an additive in$YBa_2Cu_3O_x$ , although the melting point could be reduced, the superconductivity became poor. From the XRD pattern of the sintered mixture of$YBa_2Cu_3O_x$ and PbO it was known that there is a reaction between$YBa_2Cu_3O_x$ and PbO, and the product is$BaPbO_3$ . In the process of the reaction the superconducting phase of$YBa_2Cu_3O_x$ was decreased and$BaPbO_3$ would be the main phase in the sample. Therefore,$BaPbO_3$ was chosen as the impurity additive for the comparative study. The single phase of$BaPbO_3$ was synthesized by the simple way from both mixtures of$BaCO_3$ and PbO,$BaCO_3$ and$PbO_2$ . Different contents of$BaPbO_3$ (10%, 20%, 30%) were added in the$YBa_2Cu_3O_x$ . By the Phase analysis in the XRD patterns it was proved that there was no reaction between$YBa_2Cu_3O_x$ and$BaPbO_3$ . When$BaPbO_3$ was used as impurity in$YBa_2Cu_3O_x$ the superconductivity was much better than PbO as an impurity additive in$YBa_2Cu_3O_x$ . -
Electro-mechanical properties in Bi-2223 superconducting composite tape due to axial fatigue loadingFor practical applications, the evaluation of reliability or endurance of HTS conductors is necessary. The mechanical properties and the critical current,
$I_c$ , of multifilamentary Bi-2223 superconducting tapes, externally reinforced with stainless steel foils, subjected to high cycle fatigue loading in the longitudinal direction were investigated at 77K. The S-N curves were obtained and its transport property was evaluated with the increase of repeated cycles at different stress amplitudes. The effect of the stress ratio, R, on the$I_c$ degradation behavior under fatigue loading was also examined considering the practical application situation of HTS tapes. Microstructure observation was conducted in order to understand the L degradation mechanism in fatigued Bi-2223 tapes. -
The improvement of critical temperature
$(T_c)$ , critical magnetic field$(H_c)$ , and critical current density$(J_c)$ of superconductor is important for practical applications. In this study, the additives such as metal oxides were used to improve the preparation conditions of$YBa_2Cu_3O_x$ superconducting bulk samples and depending on additives the properties of$YBa_2Cu_3O_x$ superconductor were studied. The effects of additives to the density, grain alignment, and porosity of samples that affect the critical current density of superconductor also have been investigated. -
YBaCuO thick films were fabricated by plasma enhanced chemical vapor deposition, and the crystallinity and the superconducting properties were investigated. The growth temperature to obtain the thick films was decreased by around
$150^{\circ}C$ due to plasma enhancement. The zero resistivity temperatures for films grown at$590^{\circ}C$ and$620^{\circ}C$ were 55 and 80 K, resistively. -
Using multi wrapped copper by polyimide film for HTS transformer, the breakdown and V-t characteristics of two type models for turn-to-turn, one is point contact model, the other is surface contact model, were investigated under ac and impulse voltage at 77 K. A material that is Polyimide film (Kapton) 0.025 mm thickness is used for multi wrapping of the electrode. Statistical analysis of the results using Weibull distribution to examine the wrapping number effects on V-t characteristics under at voltage as well as breakdown voltage under ac and impulse voltage in
$LN_2$ was carried. Also, survival analysis was performed according to the Kaplan-Meier method. The breakdown voltages for surface contact model are lower than that of the point contact model, because the contact area of surface contact model is wider than that of point contact model. At the same time, the shape parameter of the point contact model is a little bit larger than the of the surface contact model. The time to breakdown tn is decreased as the applied voltage is increased, and the lifetime indices slightly are increased as the number of layers is increased. According to the increasing applied voltage and decreasing wrapping number, the survival probability is increased. -
The structural and microwave dielectric properties of
$0.7Mg_4Ta_2O_9-0.3SrTiO_3$ ceramics with sintering temperature were investigated. All the sample of the$0.7Mg_4Ta_2O_9-0.3SrTiO_3$ ceramics were prepared by conventional mixed oxide method and sintered at$1425^{\circ}C{\sim}1500^{\circ}C$ . According to XRD Patterns, hexagonal$Mg_4Ta_2O_9$ phase and cubic$SrTiO_3$ Phase were coexisted. The porosity of$0.7Mg_4Ta_2O_9-0.3SrTiO_3$ ceramics were reduced with increasing sintering temperature. In the case of$0.7Mg_4Ta_2O_9-0.3SrTiO_3$ ceramics sintered at$1475^{\circ}C$ , dielectric constant, quality factor and temperature coefficient of resonant frequency were 14.51, 82,596GHz and$-3.14ppm/^{\circ}C$ , respectively. -
This paper present a new disk-type piezoelectric transformer. The input side of the transformer has a crescent-shaped electrode and the output side has a focused poling direction. The piezoelectric transformers operated in each transformer's resonance vibration mode. The electrodes and poling directions on commercially available piezoelectric ceramic disks were designed so that the planar or shear mode coupling factor
$(k_p,\;k_{15})$ becomes effective rather than the transverse meed coupling factor$(k_{31})$ . A single layer prototype transformer, 26[m] in diameter and 2.0[mm] thickness, was fabricated, such as step-up ratio, power transformation efficiency and temperature were measured. -
저온동시 소성용(low temperature co-fired ceramics, LTCC) 마이크로파 유전체을 만들기 위해
$Ca(Li_{1/4}Nb_{3/4})O_3$ 마이크로파 유전체 세라믹스에 zinc-borosililcate glass를 첨가하여 소결 특성과 마이크로파 유전 특성을 조사하였다.$Ca(Li_{1/4}Nb_{3/4})O_3$ 과$0.7Ca(Li_{1/4}Nb_{3/4})O_3-0.3CaTiO_3$ 에 zinc-borosilicate를$5{\sim}30wt%$ 첨가하여 소결한 결과$875{\sim}925^{\circ}C$ 에서 동시 소성이 가능한 것으로 확인되었으며 zinc-borosilicate glass의 함량이 증가할수록 저온에서 소성이 가능하였지만 과량의 액상과 2차상이 형성되면서 유전율과 품질계수가 저하되는 경향을 나타내었다.$Ca(Li_{1/4}Nb_{3/4})O_3$ 에 5wt%의 zinc-borosilicate를 첨가하여$900^{\circ}C$ 에서 소성한 결과 가장 우수한 유전 특성$(\epsilon_r=17.45,\;Q{\times}f_0=5487)$ 을 나타내었고, 유전율을 높이기 위해$CaTiO_3$ 를 0.3mol% 첨가한$0.7Ca(Li_{1/4}Nb_{3/4})O_3-0.3CaTiO_3$ 에 10wt%의 zinc-borosilicate를 첨가하여$925^{\circ}C$ 에서 소성한 결과 가장 우수한 유전특성$(\epsilon_r=44.92,\;Q{\times}f_0=5567)$ 을 나타내었다. -
ZnO varistor ceramics were fabricated with variation of addition of glass-frit amount and the sintering temperature was
$1150^{\circ}C$ . The average grain sizes were showed decreased from$8.6\;{\mu}m$ to$10\;{\mu}m$ , and varistor voltages were decreased from 506 V to 460 V by added amount of glass-frit. Nonlinear coefficient${\alpha}$ , of all were with increasing the amount of glass-frit more than 60, in case of added on 0.03wt% glass-frit was 83. And leakage current were less than$1{\mu}A$ with applied at 82% of varistor voltage. The clamping voltage ratio of the specimes added 0.03wt% glass-frit was 1.41 at applied 25A$[8/20{\mu}s]$ . In the specimen added 0.03wt% glass-frit, endurence of surge current and deviation of varistor voltage were$6200A/cm^2$ ,$\Delta-1.67%$ , respectively. -
In this paper, it is investigated in advance about the PZT-based composition for piezoelectric vibration device. The specimens of piezoelectric ceramics are made of Columbite method. The piezoelectric vibration device by this composition is designed by ATILA(Magsoft) program used FEM(Finite Element Method). The vibration device used for mobile phone must be driven in the frequency of
$130{\sim}200Hz$ , so the resonant frequency of piezoelectricity must adjust driven frequency bandwidth. The result of analysis by ATILA is appeared dependant property of length, width, thickness and dummy weight about resonant frequency of the piezoelectric vibration device. The size of manufactured actuator is$28{\times}12{\times}0.3mm^3(length {\times}width{\times}thickness)$ and this is bimorph type. The test of manufactured piezoelectric vibration device measure displacement, acceleration and power dissipation. The piezoelectric vibration device has the advantage more than electro-magnetic motor, however the size of manufactured device is larger than electro-magnetic motor. -
DC accelerated aging characteristics of Zn-Pr-Co-Cr-La oxides-based varistors were investigated with various sintering temperatures. The varistors sintered at
$1240^{\circ}C$ exhibited the highest nonlinearity, with a nonlinear exponent of 79.3 and a leakage current of$0.3\;{\mu}A$ , whereas completely degraded because of thermal runaway owing to low sintered density. The varistors sintered at$1250^{\circ}C$ exhibited not only a high nonlinearity with the nonlinear exponent 61.4 and the leakage current 0.7${\mu}A$ , but also a high stability with the variation rates of varistor voltage and nonlinear exponent are -1.01% and -10.67%, respectively, under DC stress condition such as$(0.85\;V_{1mA}/115^{\circ}C/24\;h)+(0.90\;V_{1mA}/120^{\circ}C/24\;h)+(0.95\;V_{1mA}/125^{\circ}C/24\;h)+(0.95\;V_{1mA}/150^{\circ}C/24\;h)$ . -
[
$(Bi_{1/2}Na_{1/2})TiO_3$ ](BNT) is considered to be an excellent candidate for the key material of lead-free piezoelectric ceramic due to properties of strong ferroelectricity with a relatively large remanent polarization$Pr=38{\mu}C/cm^2$ , and a large coercive field, Ec=73KV/cm. In this study, electrical properties of pressure sensor using a$0.96Bi_{0.5}(Na_{0.84}K_{0.16})_{0.5}TiO_3+0.04SrTiO_3+0.2wt%La_2O_3$ ceramics are investigated. Resonant frequency of pressure sensor was decreased with increasing pressure. However, its anti-resonant frequency was increased with increasing pressure. -
A coupler is a microwave passive component used for power coupling or dividing. Regarding the trend of current telecommunication systems it is highly desirable for monolithic integration of passive components as such LTCC(Low temperature cofired ceramics) technology offers potential advantage in size, cost and performance. Utilizing LTCC technology a 1608 size type dual band coupler for DCS and EGSM band was fabricated. Its characteristics such as coupling, insertion loss, isolation and directivity was measured and compared with simulation results.
-
저온 동시소성용 glass-ceramics의 소결 경향성 연구를 위해 lead-borosilicate계 glass를 frit화하여 알루미나분말과
$TiO_2$ 분말을$10{\sim}50\;vol%$ 로 각각 혼합한 후 여러 온도에서 소결하여 소결과 유전 특성을 조사하였다. 그 결과 glass의 연화온도(Ts)가 낮을수록 최대 치밀화 온도가 낮았으며, 반면에 소결밀도는 Ts가 높을수록 높았는데, 이는 glass-ceramicss에서의 결정화도와 관계하였다. 본 연구를 통해 glass-ceramic에서의 소결특성은 glass와 ceramic의 반응성에 의한 2상 석출 정도에 큰 영향을 받음을 알 수 있었으며, ceramic filler로서 알루미나와$TiO_2$ 를 이용하여$900^{\circ}C$ 에서 소성이 가능하였다. 알루미나의 경우 유전특성$({\epsilon}r=8.5,\;Q{\times}fo=6000)$ 이 기판용 저유전율 재료로 사용이 가능하였고,$TiO_2$ 의 경우도 유전특성($({\epsilon}r=17,\;Q{\times}fo=4000)$ )이 필터용 고유전율 재료로 사용 가능하도록 높게 나타났다. -
The dielectric properties of
$BaTiO_3/SrTiO_3$ multilayered thick films with printing times were investigated.$BaTiO_3/SrTiO_3$ thick films were deposited by Screen-printing method on alumina substrates. The obtained films were sintered at$1400^{\circ}C$ with bottom electrode(Pt) for 2hours. The structural and the dielectric properties were investigated for various printing times. The BST phase appeared in all of the$BaTiO_3/SrTiO_3$ mutilayered thick films. The$BaTiO_3/SrTiO_3$ multilayered thick film thickness, obtained by one printings, was$50{\mu}m$ . The dielectric constant and dielectric loss of the$BaTiO_3/SrTiO_3$ multilayered thick film, obtained by five printings, were about 266, 0.8% at 1Mhz, respectively. -
Linear ultrasonic motor by bimorph. Transducer for linear ultrasonic motor with symmetric and anti-symmetric modes was studied. The transducer was composed of two piezoelectric ceramic that cross at right angles with each other at tip. In order to exist length vibration mode two piezoelectric ceramics must have 90-degree phase difference with each other. As a result, tip of transducer moves in elliptical motion. Elliptical trajectory of transducer was analyzed by employing the (mite element method(FEM). From the result, the linear ultrasonic motor was measured for characteristics. In this paper, vibration shape of transducer was simulated and the resonant frequency, stabilization frequency and maximum displacement were calculated using the FEA.
-
The electrical stability against surge stress of ZPCCY-based varistors were investigated at different sintering times. Sintering time decreased the varistor voltage and nonlinear exponent from 279.6 to 179.1 and from 52.5 to 24.9, respectively. On the contrary, the leakage current and dielectric dissipation factor increased from 1.2 to 9.8
${\mu}A$ and from 0.0461 to 0.0651 with increase of sintering time. For all varistors, the variation rates of V-I characteristic parameters against surge stress were affected in order of varistor voltage$\rightarrow$ nonlnear exponent$\rightarrow$ leakage current. On the whole, the electrical stability against surge stress increased with increasing sintering time. Conclusively, it is assumed that the varistor sintered for 2 h exhibited comparatively good characteristics, in view of overall characteristics. -
PTC Thermistors specimens were fabricated by added
$MnO_2$ as donors, and$Nb_2O_5$ as accepters and sintered$1250^{\circ}C/2hrs$ . Average grain size decreased with increased in added$MnO_2$ , and increased with added in$Nb_2O_5$ . But, appeared liquid phase as$BaTiO_3$ and$TiO_2$ , affect to grain growth. XRD result, peak strength waslowed then crystallization not well, but, secondary phase were not showed all specimens. All specimens resistance were so high, about$40M{\Omega}$ over, couldn't measured to those resistance and doesn't appear PTCR effect. -
Varistors based on M.Matsuoka were prepared from ZnO nanopowders, every one of which had bar type and about less 100nm length. The compact green disks were conventionally sintered in air for 2 hours at a temperature of
$1050^{\circ}C$ . The Varistors with nonlinear coefficient${\alpha}=45$ , leakage current$I_{\ell}=2{\times}10^{-7}A/cm^2$ , operating voltage 9000v/cm, and average grain size$3{\mu}m$ were obtained. The advantages of the samples were due to greater structural homogenity, higher density, smaller grain size. -
In this study, in order to develop low temperature sintering ceramics for ultrasonic vibrator, PNW-PMN-PZT system ceramics were manufactured with the amount of
$CaCO_3$ addition and their piezoelectric, dielectric and microstructural characteristics were investigated.$CaCO_3$ addition to PNW-PMN-PZT basic composition was proved to be capable of sintering the ceramics at temperature below$1000^{\circ}C$ due to the effect of$Li_2CO_3-CaCO_3$ liquid phase. However, with increasing the amount of$CaCO_3$ addition, the second phase was appeared. As the results, electromechanical coupling coefficient (kp) and dielectric constant$(\epsilon_r)$ decreased. Taking into consideration electromechanical coupling coefficient (kp) of 0.49, mechanical quality factor (Qm) of 1396, dielectric constant$(\epsilon_r)$ of 1300 and density of$7.78[g/cm^2]$ , it was concluded that the 0.25wt%$CaCO_3$ addition composition ceramics sintered at$920^{\circ}C$ was suitable for ultrasonic vibrator application. -
Lee, Chang-Bae;Yoo, Ju-Hyun;Lee, Sang-Ho;Paik, Dong-Soo;Jeong, Yeong-Ho;Yoon, Hyun-Sang;Im, In-Ho 425
In this paper, in order to develop the low temperature sintering ceramics for multilayer piezoelectric transformer, PMN-PZT ceramics were manufactured with the variations of sintering times, and their microstructural, piezoelectric and dielectric properties were investigated. To manufacture multilayer piezoelectric transformer, the low temperature sintering composition is need, hence,$Li_2CO_3$ and$Bi_2O_3$ were used as sintering aids and the specimens were sintered during 30, 60, 90, 120, 150 and 180 minutes, respectively. At the specimen sintered during 90 minute, mechanical quality factor(Qm), electromechanical coupling factor(kp) and dielectric constant were showed the optimum values of 2356, 0.504 and 1266, respectively. All the specimens showed tetragonality phase, and pyrochlore phase was not shown. -
The design, simulation, modeling and measurement of a RF switch module for GSM applications were presented in this paper. switch module were simulated by ADS and constructed using a LTCC multi-layer switching circuit and integrated low pass filter, designed to operate in the GSM band. Insertion and return losses at 900 MHz of the low pass filters were designed to lower than 0.3 dB and higher than 12.7 dB respectively. The switch module constructed, contained 10 embedded passives and 3 surface mounted components integrated on
$4.6{\times}4.8{\times}1.2$ m volume, 6-layer integrated circuit. The insertion loss of switch module at m MHz were around 11 dB. -
The effects sintering additives such as
$xwt%(0.242Bi_2O_3-0.782V_2O_5)$ on the microwave dielectric and sintering properties of$MgCo_2(VO_4)_2$ ceramics were investigated. Highly dense samples were obtained for$MgCo_2(VO_4)_2$ at the sintering temperature of$950^{\circ}C$ with$0.242Bi_2O_3-0.758V_2O_5$ additions of$0.5{\sim}5wt%$ . The microwave dielectric properties of$MgCo_2(VO_4)_2$ with$0.5wt%(0.242Bi_2O_3-0.758V_2O_5)$ sintered at$950^{\circ}C$ were as follows :$Q{\times}f_0\;=\;45,375GHz,\;\epsilon_r\;=\;9.7\;and\;\tau_f\;=\;-23.2ppm/^{\circ}C$ . -
The effects of sintering additives on the low-temperature sintering and microwave dielectric properties of
$Mg_4Nb_2O_9$ dielectric ceramics were studied. When$3{\sim}20wt%$ of$0.242Bi_2O_3-0.758V_2O_5$ was added, the sintering temperature decreased from$1100{\sim}1300^{\circ}C$ to$950^{\circ}C$ and high density was obtained. When$Mg_4Nb_2O_9$ was sintered at$950^{\circ}C$ with 10wt% of sintering additive, the microwave dielectric properties of$Q{\times}f_0\;=\;80.035GHz,\;\epsilon_r\;=\;13.3\;and\;\tau_f\;=\;-12.9\;ppm/^{\circ}C$ were obtained. -
-
A heart diagnosis system adopts hundreds of Superconducting Quantum Interface Device(SQUID) sensors for precision MCG(Magnetocardiogram) or MEG(Magnetoencephalogram) signal acquisitions. This system requires correct and real-time data acquisition from the sensors in a required sampling interval, i.e., 1 mili-second. This paper presents our hardware design and test results, to acquire data from 256 channel analog signal with 1-ksample/sec speed, using 12-bit 8-channel ADC devices, SPI interfaces, parallel interfaces, and 8-bit microprocessors. We chose to implement parallel data transfer between microprocessors as an effective way of achieving such data collection. Our result concludes that the data collection can be done in 250
${\mu}sec$ time-interval. -
Vanadium oxides have been widely used in a variety of technological applications such electrochromic devices as infrared detectors and are expected as a material suitable for gas sensing applications. Thin films of Vanadium oxide (VOx) have been deposited by r.f magnetron sputtering under different oxygen partial pressure ratios and substrate temperatures. Humidity-sensitive properties of resistive sensors having interdigitated electrode structure are characterized. Our sensors show good response to humidity over 20%RH to 80%RH. Vanadium oxide films deposited with 0%
$O_2$ partial pressure at foot exhibit greater sensitivity to humidity change than others. -
In this paper, investigations of the SAMs(self-assembled monolayers) of a thiol-fuctionalized viologen derivatives,
$V_8SH$ and$SH_8V_8SH$ , where, V is N,N'-dialkylbipyridinium (i.e. a viologen group), have been carried out by elucidate voltammetry date. The redox reactions are highly reversible and can be cycled many times without significant side reaction, which has been known as a nano-gram order mass detector through resonant frequency change self-assembly process of the viologen has been investigated with$QCM({\Delta}F)$ . The assembling process of the$V_8SH$ and$SH_8V_8SH$ monolayers can be finished completely in about 1 hour. The measured frequency shift for$V_8SH$ and$SH_8V_8SH$ were about 351 and 172 Hz, respectively. From these values, we calculated that the mass adsorbed$V_8SH$ and$SH_8V_8SH$ were about 375 and 183 ng. We believe that this mass loss is caused by the simultaneous loss of the anions present within the monolayer for charge compensation of the viologen dications and some solvent. -
Shin, Jung-Wook;Kang, Sang-Sik;Kim, Jin-Young;Kim, Kyung-Jin;Park, Sung-Kwang;Jo, Heung-Lae;Lee, Hyung-Won;Nan, Sang-Hee 456
Analog film/screen systems have been being changed to a digital x-ray imaging device using direct conversion materials. Photocoductors for a direct detection flat-panel imager require high x-ray absorption, ionization and charge collection, low leakage current and large area deposition. In this work,$HgI_2$ films with excellent properties for x-ray detector were deposited by screen printing method. The thickness of$HgI_2$ film was about$150\;{\mu}m$ . The passivation layer is fabricated using a-Se and parlyene, the both fabrication$HgI_2$ film were compared for analyzing the leakage current reduction. We measured electrical properties-leakage current, photosensitivity, SNR though I-V measurement, As the result,$HgI_2$ film using a-Se passivation layer had the greater -
본 논문은 고에너지 방사선 검출을 위한 흔합형 구조의 방사선 센서를 제작, 반응 특성을 평가하였다. 먼저, 스크린 인쇄법을 이용하여 형광체 필름을 제작하였으며, 발광스펙트럼(PL, Photoluminescence) 및 잔광 시간(decay time) 측정을 통하여 형광체의 발광 특성을 조사하였다. 제작된 혼합구조의 방사선 센서는
$2{\mu}m$ 두께의$HgI_2$ 와$150{\mu}m$ 두께의 형광체 필름으로 제작되었으며, 면적은$2\;cm\;{\times}\;2\;cm$ 이다. 방사선에 대한 전기적 검출 신호의 특성을 조사하기 위해 인가전압에 따른 암전류 및 방사선민감도, 선량에 따른 검출신호를 측정하였다. 측정결과, 제작된$HgI_2$ 필름은 방사선에 의해 형광체에서 방출된 가시광 파장을 잘 흡수하였으며, 진단영역의 저에너지 방사선에 의해 직접 전기적 신호를 발생시켜 높은 방사선 민감도를 보였다. 뿐만 아니라, 인가전압에 대해$10\;pA/mm^2$ 이하의 낮은 암전류를 가졌으며, 넓은 조사선량에서 우수한 선형성을 보였다. -
An uncooled infrared sensor has been prepared with sputtering, plasma ash, ICP, and PECVD on a Si wafer In order to analyze the resistance characteristics with the bridge length in the infrared sensor, three samples were prepared with lengths of 0 (no bridge), 15 (short bridge), and 29 urn (long bridge), respectively. I-V curves were measured for their resistance characteristics and EPMA for the dopping concentration of the amorphous Si. The phosphorus concentration was about 4 % and the resistance was increased with the bridge length. The bridge length of cantilever is very important factor for improvement of the efficiency in an infrared sensor.
-
Monolayers of lipids on a water surface have attracted much interest as models of biological membranes, but also as precursors of multilayer systems premising many technical applications. Until now, many methodologies have been developed in order to gain a better understand. Photoisomerization in monolayers of a novel azobenzene compound, azobenzene dendrimer, was investigated for the first time by means of the absorption spectrum and Maxwell displacement current (MDC) technique. Dendrimers are well-defined macromolecules exhibiting a tree-like structure, first derived by the cascade molecule approach. According to the absorption spectrum, trans-to-cis conversion ratio was estimated to the third generation of azobenzene dendrimer deposited onto a glass substrate. Temperature-dependent induced charge with trans-cis isomerization was also measured by means of MDC technique.
-
Microarray-based DNA chips provide an architecture for multi-analyte sensing. In this paper, we report a new approach for DNA chip microarray fabrication. Multifunctional DNA chip microarray was made by immobilizing many kinds of biomaterials on transducers (particles). DNA chip microarray was prepared by randomly distributing a mixture of the particles on a chip pattern containing thousands of m-scale sites. The particles occupied a different sites from site to site. The particles were arranged on the chip pattern by the random fluidic self-assembly (RFSA) method, using a hydrophobic interaction for assembly.
-
-
Ga doped zinc oxide films (ZnO:Ga) were deposited on glass substrate by RF magnetron sputtering from a ZnO target mixed with
$Ga_O_3$ . The effects of RF discharge power on the electrical, optical and structural properties were investigated experimentally. The structural and electrical properties of the film are highly affected by the variation of RF discharge power. The lowest electrical resistivity of$4.9{\times}10^{-4}\;\Omega-cm$ were obtained with the film deposited from 3 wt% of$Ga_2O_3$ doped target and at 200 W in RF discharge power. The transmittance of the 900 nm thin film was 91.7% in the visible waves. The effect of annealing on the as-deposited film was also studied to improve the electrical resistivity of the ZnO:Ga film. -
다중 채널 전극 위에 세포를 성장시켜 전극면을 통해 검출되는 신경 신호의 손실을 줄이고 주파수의 변형을 줄이기 위해서 전극과 전해질의 사이의 impedance를 줄이는 것이 바람직하다. 전하 이동을 증대시키기 위해서는 낮은 impedance가 요구되며 이를 위한 전극의 개선 방안으로 전극면이 증착될 기판의 표면을 거칠게 하여 결과적으로 전극면의 표면적을 넓히는 방법을 모색하였다. 기판으로 사용되는 glass(Pyrex#7740)의 구성 물질 중에서 4%를 차지하는
$N^+$ 이온을 황산 용액으로 표면 처리하여 제거함으로써 매끈한 표면을 거칠게 하여 표면적을 넓힐 수 있다. 기판으로 사용되는 glass (pyrex#7740)$1cm{\times}1cm{\times}0.05cm$ 를 50%, 95% 농도의 황산 용액 내에서 각각 30분, 60분 동안 상온에서 표면처리를 진행하였다. AFM을 이용하여 표면을 관찰한 결과 황산 용액 95%에서 30분간 표면 처리를 진행한 시편에서 최대$4000{\AA}$ 정도의 조도를 얻었다. 이후 동일 시편에 대해 전극으로 사용될 Ti/Au를 각각$500{\AA}/2500{\AA}$ 증착 후 사진식각 공정으로 MEA(Multi-channel electrode array)를 제작하여 impedance를 측정한 결과, 표면 처리 후 impedance가 70% 개선되었음을 측정하였다. -
본 연구에서는 dipyridinium dithioacetate 분자를 Au(111) 표면에 자기조립하여 STM 탐침-유기 단분자막-Au(111)기판의 수직구조로 STM 측정시스템을 이용하여, 전기적 특성을 관찰하였다. 먼저 Au(111)기판을 Piranha용액
$(H_2SO_4:H_2O_2=3:1)$ 으로 Au 표면을 전처리 하였다. 전처리한 Au(111) 기판을 dipyridinium dithioacetate 1mol/ml 농도로 자기조립 하였으며, 자기조립막의 표면 구조를 STM으로 관찰하였다. dipyridinium dithioacetate의 전기적 특성은 STM 탐침-유기단분자막-Au(111) 기판의 수직구조로 STS를 이용하여 조사하였다. 전압과 전류 측정에서 전압이 증가함에 따라 전류가 감소하는 부성 미분저항(NDR)의 특성이 관찰 되었다. NDR 수치가$-545\;[m\Omega/cm^2]$ 였고, PVCR은 1.64:1 이었다. -
[
$Ba_2NaNb_5O_{15}$ ]은 orthorhombic tungsten bronze 결정구조를 갖는 강유전체로서, 단결정의 경우$LiNbO_3$ 에 비해 우수한 비선형 전광계수 값을 나타내는 것으로 알려져 있으며, 또한 주목할만한 초전, 압전, 강유전특성을 나타내고 있다. 본 연구에서는 다른 강유전체박막에 비하여 상대적으로 연구가 덜 이루어진 BNN 박막을 세라믹 타겟을 사용하여 이온빔 보조 증착법을 사용하여 제조하였으며,$Ar/O_2$ 분위기에서 증착된 BNN 박막에 대한 결정화 및 배향 특성을 고찰하였고, 이에 따른 전기적 특성의 변화를 살펴보았다. 연구에 사용된 기판은$Pt(100)/TiO_2/SiO_2/Si(100)$ 이었으며, 이온빔 보조 증착법에서 보조 이온빔의 에너지를$0{\sim}400eV$ 로 변화 시키며 BNN 박막을 증착한 후, 열처리하였다. BNN 박막의 전기적 특성은 MFM 박막 커패시터의 형태로 제조하여 강유전 특성에 대해 살펴보았다. -
본 연구는 방사선 영상센서 적용을 위한
$HgI_2$ 필름의 특성 평가에 관한 것으로서 X-선 조사조건별 인가전압에 따른 검출신호 특성을 조사하였다. 기존의$HgI_2$ 검출기의 경우 신호량이 크다는 장점이 있으나 노이즈의 양이 크다. 이에 대한 해결책으로 보호층을 삽입하나 이 경우 X-선 조사에 따른 시간 응답 특성이 있어서 전하트랩현상(tailing effect)에 의한 영향이 크게 존재하였다. 따라서 본 논문에서는 이러한 문제점을 해결하고자 보호층으로써 a-Se 을 삽입하여 기존의$HgI_2$ 검출기에서 사용되어지는 parlyene이 삽입된 검출기와 전기적 특성을 측정, 비교해보고자 한다. 제작방식으로는 대면적 제작이 용이한 스크린 프린팅 방식을 이용하여 두께$140\;{\mu}m$ 와$3\;cm\;{\times}\;2\;cm$ 면적으로 제조하였다. 측정결과, a-Se을 보호층으로 사용한$HgI_2$ 필름이 민감도는 거의 비슷하나 누설전류가 안정화 되는데 걸리는 감소시간(decay time)이 parlyene을 사용한 구조에 비해 훨씬 낮았다. 또한 X선에 대한 민감도는 기존의 a-Se에 비해 월등히 높아 적은 방사선 조사량(radiation dose)에서도 신호검출이 가능하여 저선량이 요구되는 방사선 투시촬영(digital fluoroscopy) 적용에 유용할 것으로 기대된다. -
Bae, Yu-Han;Hwang, Jeoung-Yeon;Kim, Jong-Hwan;Mun, Hyun-Chan;Han, Jung-Min;Kim, Young-Hwan;Seo, Dae-Shik 498
In this study, the threshold voltage and the response time of thermal stressed TN-LCDs showed the same performances on no thermal stressed TN-LCDs. There was little change of value in TN cells. Also, the transmittances of TN-LCDs on the rubbed PI surface were almost same while increasing thermal stress time. However, the thermal stability of TN cell was decreased by the high thermal stress for the long duration. Residual DC was decreased as the thermal stress increases. Especially, when TN cell was stressed more and more by heating, residual DC was changed a lot. As a result, the residual DC property of LCD in projection TV is affected very much by heating. -
Park, Chang-Joon;Hwang, Jeoung-Yeon;Kang, Hyung-Ku;Kim, Young-Hwan;Seo, Dae-Shik;Ahn, Han-Jin;Kim, Kyung-Chan;Kim, Jong-Bok;Baik, Hong-Koo;Lim, Sung-Hoon;Park, Kyu-Chang;Jang, Jin 502
We studied the nematic liquid crystal (NLC) aligning capabilities using the new alignment material of a SiC (Silicon Carbide) thin film. SiC thin film exhibits good chemical and thermal stability. The good thermal and chemical stability make SiC an attractive candidate for electronic applications. A homeotropic alignment of nematic liquid crystal by ion beam (IB) exposure on the SiC thin film surface was achieved. The about$87^{\circ}$ of stable pretilt angle was achieved at the range from$30^{\circ}$ to$45^{\circ}$ of incident angle. The good LC alignment is maintained by the ion beam alignment method on the SiC thin film surface until annealing temperature of$300^{\circ}C$ . Consequently, homeotropic alignment effect of liquid crystal and the good thermal stability by the ion beam alignment method on the SiC thin film layer can be achieved. -
Nam, Ki-Hyung;Hwang, Jeoung-Yeon;Lee, Whee-Won;Choi, Myung-Gil;Suh, Dong-Hack;Kim, Young-Hwan;Seo, Dae-Shik 506
We have investigated the generation of pretilt angle for a nematic liquid crystal (NLC) alignment with in-situ photoalignment method on polyimide (PI) surfaces using thin plastic substrates. The LC aligning capabilities and pretilt angle of the thin plastic substrates by in-situ photoalignment method were better than that of the glass substrate by general photoalignment. Also, the LC pretilt angle increased with increasing heating temperature and exposure time. And EO characteristics of photoaligned TN-LCDs using in-situ photodissociation method on glass substrate and on plastic substrate are also excellent. -
This paper represents the response surface model for the cell gap on the flexible liquid crystal display (LCD) process. Using response surface methodology (RSM). D-optimal design is carried out to build the design space and the cell gap is characterized by the quadratic model. The statistical analysis is used to verify the response surface model. This modeling technique can predict the characteristics of the desired response, cell gap, varying with process conditions.
-
We have studied motion of micro-particle immersed in liquid crystal (LC) controlled by in-plane field, which is an important technology in the electro-phoretic display (EPD). In the EPD on and off states are decided by movement of these charged particles and response time is influenced by moving velocity of charged particles. In addition, the velocity can be controlled by intensity of applied voltage such that the higher the applied voltage, the faster velocity of particles become. In this study, we investigated particles's motion as functions of applied voltage, temperature of LC, rubbing direction,
-
We have investigated about compensation film to reduce the light leakage at an oblique angle in-plane switching mode. It is well known that uncompensated in-plane switching (US) and fringe field switching (FFS) liquid crystal displays (LCDs) have much better viewing angle than other modes owing to the in-plane rotation of the LC director. However, to accomplish optimal viewing angle characteristics in these devices, they must be compensated by one or more films. So, in this paper, we have studied how to reduce the light leakage with viewing angle using discotic film in dark state.
-
Yun, Soon-Il;Na, Sung-Wuk;Yun, Young-Wun;You, Hyun-Jun;Lee, Yeong-Joo;Kim, Hyun-Jung;Lee, Kie-Jin 522
ITO thin films$({\sim}150\;nm)$ are deposited on glass substrates by different deposition condition. The sheet resistance of ITO thin films measured by using a four probe station. The microstructure of these films is determined using a X-ray diffractometer (XRD) and a scanning electron microscope (SEM) and a atomic force microscope (AFM). The sheet resistance of ITO thin films compared$s_{11}$ values by using a near field scanning microwave microscope. -
We report the space charge and the surface potential of the interface between metal and CuPc according to isotropic property and different metal by measuring the microwave reflection coefficients
$S_{11}$ of copper(II)-phthalocyanine(CuPc) thin films by using a near-field microwave microscope(NSMM) in order to understand. CuPc thin films were prepared on gold and aluminium substrates using a thermal evaporation method. Two kinds of CuPc thin films were prepared. One was deposited on preheated substrate at$150^{\circ}C$ and the other was annealed after deposition by using thermal evaporation methods. The microwave reflection coefficients$S_{11}$ of CuPc thin films were changed by the dependence on the heat treatment conditions. By comparing reflection coefficient$S_{11}$ we measured electrical conductivity of CuPc thin films and studied this results with respect to the surface potential and space charge of the interface between metal and CuPc thin films. -
Yang, Jong-Kyung;Choi, Gi-Seung;Pack, Gwang-Hyeon;Choi, Yong-Sung;Lee, Jong-Chan;Park, Dae-Hee 531
In Recent, it became necessary to develope the technology about electrodeless fluorescent lamp according to demand of the electodeless fluorescent lamp system that used higher efficiency and advantage of long-lifetime. Especially, in the electordeless fluorescent lamp which used H-mode, efficiency of lamp is decided from matching parameter of antena and inverter. So it is of the utmost importance to design antena and inverter Therefore, this paper used a transformer principle for efficiency rising of electrodeless fluorescent lamp and interpreted an equivalent circuit, used an impedance analyzer in order to confirm a performance enhancement of lamp along design of antenna, and confirmed parameter characteristic of R, L, C, Z, Q-factor along a change of magnetic flux density. Also, this paper confirmed a luminance characteristic of electordeless lamp along parameter change with measuring optical characteristic along a change of magnetic flux density -
Zinc Oxide (ZnO) films have attracted considerable attention for transparent conducting films, because of their high conductivity, good optical transmittance from UV to near IR as well as a low-cost fabrication. To increase the conductivity of ZnO, doping of group III elements (Al, Ga, In and B) has been carried out. Transparent conducting films have been applied for optoelectric devices, the development of the transparent conducting thin films on flexible light-weight substrates are required. In this research, the transparent conducting ZnO thin films doped with Aluminum (Al) on polymer substrates were deposited by the RF magnetron suputtering method, and the structural, optical and electrical properties were investigated.
-
The
$ZnGa_2O_4$ phosphor target is synthesized through solid-state reactions at the calcine temperature of$700^{\circ}C$ and sintering temperature of$1300^{\circ}C$ in order to deposit$ZnGa_2O_4$ phosphor thin film by rf magnetron sputtering system. The$ZnGa_2O_4$ phosphor thin film is deposited on Si(100) substrate and prepared$ZnGa_2O_4$ phosphor thin film is annealed by rapid thermal processor(RTP) at$700^{\circ}C$ , 15sec. The x-ray diffraction patterns of$ZnGa_2O_4$ phosphor target and thin film show the position of (311) main peak. The cathodoluminescenre(CL) spectrums of$ZnGa_2O_4$ phosphor thin film show main peak of 420nm and maximum intensity at the substrate temperature of$500^{\circ}C$ and annealing temperature of$700^{\circ}C$ 15sec. -
Ahn, Joon-Ho;Chung, Dong-Hoe;Jang, Kyung-Uk;Song, Min-Jong;Lee, Sung-Il;Lee, Joon-Ung;Kim, Tae-Wan 543
Bias and frequency-dependent impedance is a technique for the investigation of complex conductivity. At low frequency, complex impedance is dominated by resistive component, and at high frequency by capacitive component. We are going to present the results of the bias and frequency-dependent complex impedance in the device structure of$ITO/Alq_3/Al$ in the temperature range between 10K and 300k. And we will show to change radius of Cole-Cole plot. It will be decrease resistance by temperature. Also equivalent electrical circuit and dielectric relaxation can be accomplished by using the complex impedance analysis. -
Indium tin oxide(ITO) films were prepared as a function of varying the proportion of oxygen
$[0{\sim}1.0sccm]$ at fixed he gas[20sccm] by facing targets sputtering(FTS) system. Then electrical and optical properties of ITO thin films were estimated by Hall effect measurement system and UV/VIS-spectrometer. In the result, at very little oxygen rate, we can prepare a low resistivity ITO thin film of$3.40{\times}10^{-4}[\Omega{\cdot}cm]$ and transmittance of over 80%. So we noticed that the ITO thin film with low resistivity and high transmittance was prepared by FTS at room temperature. -
LED는 소비전력이 적고, 수명이 길어 LCD Backlight 용 광원으로 주목받고 있다. 현재 휴대전화기용 LCD에 많이 사용되고 있는 소형 LED Backlight의 경우 입광부에 LED의 개수가 육안으로 확인 가능할 정도의 Hot Spot이 존재한다. 이에 본 논문에서는 Reflector를 사용하여 광의 손실을 줄이고 도광판의 입광부에 산란 패턴을 설계하여 적용함으로써 도광판 입광부에서 122.7%의 휘도 상승효과와 73.4%의 휘도 균일도를 얻을 수 있었으며 이로 인해 Hot Spot을 감소시킬 수 있었다.
-
The efficiency of electron injection from the cathode is strongly dependent on the thickness of the LiF buffer-layer. We used LiF to electron Injection layer. We compared characteristics of organic light emitting device changing LiF thin film thickness from 1.0 m to 10.0 nm. Experiment result, we found that LiF thickness has the optimized electrical characteristics in 3.0 m. In this paper, we did research about electrical characteristics of organic light emitting device by LiF thickness change using method numerical analysis method. We proved adequate experimental results that compare results of numerical analysis, and come out through an experiment results is validity.
-
A 2.2-inch QCIF+
$(176{\times}RGB{\times}220)$ TFT-LCD with integrated row driver was developed using a standard amorphous silicon TFT technology. At low temperature$({\sim}-20^{\circ}C)$ , the integrated row driver operation is dramatically effected by the electron drift mobility variation$({\sim}50%)$ and the threshold voltage shift$({\sim}1V)$ of the a-Si TFT. We studied the temperature dependency of the circuit design and found that higher on-current circuit is important to guarantee good operation in wide temperature range. -
Kim, Seung-Jai;Oh, Sang-Min;Lee, Seung-Hee;Shin, Yong-Seop;Kim, Hyang-Yul;Kim, Seo-Yoon;Lim, Young-Jin 563
We have studied a electrically controlled birefringence (ECB) mode for field sequential liquid crystal display (FSLCD). We measured response time of the ECB mode. The ECB mode exhibiting fast response time, high transmittance, low operating voltage and adequate viewing angle. The positive liquid crystal (LC) is better than negative LC on dielectric anisotropy, birefringence and rotational viscosity. So that, the ECB mode is one of strongest candidate for FSLCD application. -
We have designed a single gap transflective liquid crystal display (LCD) driven by a fringe electric field, in which the LCs are homogeneously aligned in the initial state. In the reflective and transmissive areas, the degrees of the rotation of the LC director are
$22.5^{\circ}$ and$45^{\circ}$ , respectively. Utilizing this mechanism and an in-cell retarder with a quarter-wave plate that is used below the LC layer, the transflective LCD using fringe-field switching (FFS) mode is realized. -
The LED backlight can be designed to have the desired uniformity by using many combination of reflector patterns. The uniformity of the LED backlight can improve by applying the specially designed reflector patterns. In this paper, it was shown that the 72.7% of luminance uniformity of the LED backlight with reflector patterns was obtained by computer simulation.
-
In this study, we designed HAN(hybrid-aligned nematic) cell using novel tilt angle control method. It was possible to make novel HAN cell using a single polyimide by hot plate baking method. This new HAN cells showed slower response time as compared with conventional HAN cell. However, the alignment state and V-T curve of HAN cell using novel method were acceptable. Also, it showed better C-V characteristic than that of conventional HAN cell.
-
[
$Mg_xZn_{1-x}O$ ] thin films on (001) sapphire substrates have been deposited by pulsed laser deposition (PLD). The substrate temperature has been varied from$200^{\circ}C$ to$600^{\circ}C$ in order to control Mg content in$Mg_xZn_{1-x}O$ thin film.$Mg_xZn_{1-x}O$ thin films deposited at 200, 400 and$600^{\circ}C$ were annealed at temperatures of$800^{\circ}C$ . The ratio of Mg was mesured by Rutherford backscattering spectrometry. The optical properties of$Mg_xZn_{1-x}O$ thin films were characterized by photomulinesence. The ratio of Mg was varied depending on the deposition temperatures which resulted in the change of energy bandgap. -
In this paper, we synthesized a new photo-crosslinkable polyitaconimide containing cinnamoyl moiety by radical polymerization. Also, the NLC alignment capabilities on these photopolymer surfaces were studied. Good LC alignment with W exposure on the polyitaconimide surfaces can be obtained. A homogenous alignment using UV exposure on the polyitaconimide with 1-carbon chain cinnamoyl group and 4-carbon chain cinnamoly group can be obtained. However, the homeotripic alignment using UV exposure was observed on polyitaconimide with 6-carbon chain cinnamoyl. The LC aligning ability on the polyitaconimide depends on the side chain length of photopolymer.
-
In this study, AZO(ZnO:Al) thin film were prepared by FTS(Facing Target Sputtering) system. The electrical, optical properties and crystalline of AZO thin film with thickness have been investigated. The thickness, transmittance, crystalline and electrical properties of AZO thin film were measured by a-step, UV-VIS spectrometer, hall effect measurement system, XRD and four-point probe, respectively. As a result, AZO thin film deposited with the transmittance over 80% and the resistivity about
$10^{-4}\;\Omega-cm$ . -
본 논문에서는
$As_{40}Ge_{10}Se_{15}S_{35}$ (300nm)박막과$As_{40}Ge_{10}Se_{15}S_{35}$ (300nm)/Ag(20nm)박막에 홀로그래피 격자를 형성시킨 후 Tg 온도$(240^{\circ}C)$ 를 기준으로 하여 유리질 천이온도(Tg) 온도 이하$(190^{\circ}C)$ 와 이상$(270^{\circ}C)$ 에서 열처리 시킨 후의 회절효율 변화를 알아보았다.$As_{40}Ge_{10}Se_{15}S_{35}$ (300nm) 박막의 경우$190^{\circ}C$ : 50%,$240^{\circ}C$ : 약80%,$270^{\circ}C$ : 약 98%의 회절효율 감소가 일어났으며$As_{40}Ge_{10}Se_{15}S_{35}$ (300nm)/Ag(20nm)박막에서는 Tg 온도 이하 즉$190^{\circ}C$ ,$240^{\circ}C$ 에서는 회절효율의 변화가 없었으나 Tg온도 이상인$270^{\circ}C$ 에서는 약 1.5배 증가한 회절효율을 나타내었다. -
본 연구에서는 진공 인라인 실장 기술을 이용하여 제작한 플라즈마 디스플레이 패널(PDP)의 전기적 광학적 특성을 측정하여, 일반적인 실장 방법을 이용한 PDP의 특성과 비교 분석하였다. 본 실험에 사용된 패널은 Screen Printer를 이용한 상 하부전극과 하판 유전체, 상판 투명유전체, 격벽 및 E-Beam Evaporation 방법을 이용하여 증착한 MgO 보호막으로 이루어져 있으며, 분위기 온도
$430^{\circ}C$ , Ne-Xe(4%) 400[torr]압력 하에서 실장하였다. 높은 분위기 온도로 인하여 MgO에 Crack이 발생하였으나 지속적인 연구를 진행하여 최적의 실장 조건을 확립할 수 있었다. 이러한 진공 인라인 실장 기술은 추가적인 Annealing 공정이 필요하지 않아 공정의 단축을 모색할 수 있으며, MgO의 수화를 제거함으로써 일반적인 실장 방법을 이용한 패널보다 더 우수한 전기적 광학적 특성을 얻을 수 있었다. -
Organic light entitling diode panel was fabricated using pulsed laser deposition (PLD) method Nd-YAG laser with Q-Switched and 355 nm pulse was used for the PLD. While TPD(N,N'-Di-[naphthaleny]-N, N'-diphenyl-benzidine) was used as a HTL(Hole transport layer),
$Alq_3$ (8-Hydroxyquinoline, Aluminum Salt) was used as EML/ETL(Emitting Layer/Electron Transport Layer) Organic pellet was fabricated and employed for the PLD method. The absorbances of the organic films were investigated and the measured absorbance values of TPD and$Alq_3$ films was 362 nm and 399 nm, respectively. The turn-on voltage of the OLED panel was 7.5 V and its luminance was$90\;cd/m^2$ -
Aspects of PD occurrence from PD sources are different with their physical and structural appearances which cause the variation of electric field distributions of them. This paper have investigated electric field distributions of some kinds of electrode structure by FEM applied analysis program. Numeric analysis is a good method to investigate Ihe electric and magnetic field distribution of partial discharge source. The analysis results were confirmed by real PD occurring situation in the laboratory.
-
An electric power efficiency of electrodeless fluorescent lamp has big relativie propertye of gas in lamp, gas pressure, lamp formation, ingredients of magnetic substance and shape and action frequency etc. We used magnetic substance that open self-examination material of electrodeless fluorescent lamp antenna. Ferrite that is used in this experiment was Mn-Zn type. Coill turn was changed from 13th to 13th turn to recognize brightness change of lamp by winding number. Optical equipment that used in an experiment was used to measure brightness (LS-100). When an electrodeless fluorescent ]amp was made using and T company's PE22, was it showed the highest brightness. As number of winding is increased the brightness increased, and starting characteristic became good.
-
In recent, there have been several developments in lamp technology that promise savings in electrical power consumption and improved quality of the lighting space. Above all, Electrodeless fluorescent lamp is the removal of internal electrodes and heating filaments that are a light-limiting factor of conventional fluorescent lamps. The electrodeless fluorescent lamp is intended as a high efficacy replacement for the incandescent reflector lamp in many applications. Therefore, the electrodeless fluorescent lamps is substantially higher than that of conventional fluorescent lamps and last up to 60,000 hours. In this paper, electron temperature and electron density were measured in a radio-frequency inductively coupled plasma using a Langmuir probe method for emission characteristics. Measurement was conducted in an argon discharge for pressure from 10 [mTorr] and input RF power 100 [W] to 150 [W]. As for the electron density, a electron temperature was more distinguished for a emission characteristic. The results of ideal may contribute to systematic understanding of a electrodeless fluorescent lamps of emission characteristics.
-
Lightning surge damages of low voltage equipments in building are increasing due to increase in electrical and communication networks in the information-oriented society. And electronic equipments contained electrical circuits with semiconductor are very weak against lightning surge. The surge protective devices for electronic circuit in electronic equipments and AC power lines are becoming more widely. To achieve effective method of surge protection, there are needs for correlation between lightning surge protective effect of electronic equipment and installation method of surge protective device. This paper describes as a result of experiments for correlation between lightning surge protective effect and installation method of surge protective device.
-
In this study, the discharge characteristic of FTS (Facing Targets Sputtering) apparatus was investigated using metal target paramagnetic and ceramic targets such as Zn, Al,
$ZnO:Al(Al_2O_3)$ , ITO. Threshold voltage and stable stage of discharge show different with target species. Compare with commercial sputtering apparatus, the FTS apparatus is a high-speed sputter method that promotes ionization of sputter gas by screw and reciprocate moving high-speed${\gamma}$ electrons which arrays two targets facing each other, inserts plasma arresting magnetic field to the parallel direction of the center axis of both targets, discharged from targets and accelerated at the cathode falling area. Especially, we notice that the FTS method using ceramic target has stable discharge characteristic even by DC power source. -
본 논문은 LCD Backlight로 사용되고 있는 CCFL의 문제점을 해결하기 위해 간단한 구조를 가지는 mercury-free, Xe 평판형 형광램프에 대한 연구를 하였다. 일반적으로, 수은을 포함한 형광램프는 낮은 온도에서의 휘도문제와 상대적으로 긴 점등시간, 수명과 특히, 환경 문제가 있다. 본 논문에는 화학적으로 안정한 불활성 가스인 Xe을 사용함으로써 기존의 백라이트가 가지고 있는 문제점을 해결하였다. 제작된 8인치
$(200{\times}156{\times}10mm)$ 램프는 AC 펄스 전압에 의해 높은 균일도, 안정한 방전, 우수한 전기적 및 광학적 특성을 가진다. 또한 본 연구에서, 무수은 Xe 평판형 형광램프의 전극폭에 대한 전기적 및 광학적 실험을 통하여 90[%]의 균일도에서$7,000[cd/m^2]$ 의 휘도와 30[lm/W]의 효율을 얻었다. -
A tetrafluoromethane
$(CF_4)$ is most useful gas in plasma dry etching, because it has a electron attachment cross-section. therefor it is important to calculate transport coefficients like electron drift velocity, ionization coefficient, attachment coefficient, effective ionization coefficient. and critical E/N. The aim of this study is to get these transport coefficients for information of the insulation strength and efficiency of etching process. Electron transport coefficients in$CF_4+Ar$ gas mixture are simulated in range of E/N values from 1 to 250 [Td] at 300[K} and 1 [Torr] by using Boltzmann equation method. The results of this method can be important data to present characteristic of gas for plasma etching and insulation, specially critical E/N is a data to evaluate insulation strength of a gas. and is presented in this paper for various mixture ratios of$CF_4+Ar$ gas mixture. -
The purpose of this paper is to investigate the effect of arc current and contact velocity on the erosion of silver-based contact materials to be used in low voltage circuit breakers. The opening velocity during breaking, which is constant, ranges between 2m/s to 6m/s in the 415V
$25kA_{rms}$ . Contact erosion is evaluated by measuring the mass change of the cathode and anode. The results show that the increase in opening velocity from 2m/s to 6m/s leads to a decrease in the contact erosion. It is shown that the material transfer from one electrode to another depends on the transfer charge and the opening velocity of the contacts. The contact pairs of AgWC/AgCdO are superior to$AgWC/AgSnO_2In_2O_3$ or AgWC/AgC contact pairs in the contact erosion. -
This paper presents the fabrication and performance evaluation of zinc oxide varistors for the arresters used for station system. ZnO varistors were fabricated with typical ceramic production methods and the structural and electrical characteristics were investigated. All varistors exhibited high density, which were in the range of
$5.41{\sim}5.49g/cm^3$ . In the electrical properties the reference voltage increased in the range of$4.410{\sim}5.250kV$ with increasing their thickness and the residual voltage exhibited the same trends as the reference voltage. In the long duration current impulse withstand test, E-2 and F-1 samples failed in the two and four shots, respectively, but E-1 and F-2 samples survived 18 shots during the test. Before and after this test, the variation ratio of residual voltage of E-1 and F-2 samples were -0.34% and 0.05%, respectively, which were in the acceptance range of 5%. According to the results of tests, it is thought that if the fabrication process such as insulating coating, sintering condition, and soldering method is improved, these ZnO varistors would be possible to apply to the station class arresters in the new future. -
유도결합형 플라즈마는 낮은 가스 압력에서도 고밀도의 플라즈마를 발생시키기 때문에 무전극 램프에 많이 적용되고 있다. 그리고 무전극 램프는 장 수명을 실현하고 고품질의 빛을 발생하기 때문에 앞으로 광범위하고 다양한 장소에 사용이 예상된다. 본 논문에서는 유도결합형 플라즈마를 이용한 아르곤 가스의 방전 특성 중에서 색 좌표 특성을 살펴보았다. 즉, 외부 안테나에 의해 발생된 13.56[MHz]의 RF Power를 방전관 내부로 전달하고, 아르곤 가스 압력과 RF Power 변화에 따른 아르곤 가스의 방전 특성을 측정하였다. 또한, 유도결합형 플라즈마를 방전시키기 위한 아르곤 가스의 압력은 1[mTorr]에서 100[mTorr], RF 전력은 10[W]에서 120[W]이며 이의 색 좌표 특성과 스펙트럼을 살펴보았다. 측정 결과 RF 출력이 증가하면 색 좌표의 x, y 값이 동시에 감소하였다. 아르곤 가스 압력이 증가하면 농도의 증가로 인해 발광이 어려웠으며, 색 좌표 특성은 압력이 증가하면서 y 값은 변화가 적었으나 x 값이 100[mTorr]일 크게 증가하는 현상이 나타났다.
-
Recently, the environmental problem has received considerable attention. so, many lamps have been developing for environmental requirement and energy efficiency, also, at glow discharge lamp researchers try to reduce energy spending that is power saving lamp. this kind requirement agree with strong points of electrodeless fluorescent lamp has received to now lighting sauce. In this paper, at the research and development of Electrodeless Fluorescent Lamp phase, according to ferrite C.F.D(Computational Fluid Dynamics) Simulation and lamp brightness character are measured to find optimization design requirements of RF antenna which is impotent for emission of lamp.
-
A comparative investigation of an experimental and a simulation of chemical kinetics for NOx removal from dielectric barrier discharges is presented. Several types of dielectric barrier discharges were implemented depending upon the configuration of electrodes. The simulation was based on an approximate mathematical model for plasma cleaning of waste gas. The influence of non uniform distributions of species due to the production of primary active particles in the streamer channel was taken into account. A comparison of observed experimental to the calculated removal efficiency of NOx showed acceptable agreement.
-
In this study, PD(partial discharge) signals which occurrs at stator coil of traction Motor are acquired. these data are used for classifying the PD sources. W(Neural Network) has recently applied to classify the PB pattern. The PD data are used for the learning process to classify PD sources. The PD data come from normal specimen and defective specimens such as internal void discharges, slot discharges and surface discharges. PD distribution parameters are calculated from a set of the data, which is used to realize diagnostic algorithm. NN which applies distribution parameters is useful to classify the PD patterns of defective sources generating in stator coil of traction motor.
-
Lightning surge damages of low voltage equipments in building are increasing due to increase in electrical and communication networks in the information-oriented society And electrical circuits with semiconductor are very weak against lightning surge. To achieve effective method of surge protection on low voltage lines, there are needs for the relationship between propagation aspects of lightning surge and arrangement of indoor wire. This paper describes the experimental study on the relationship between them. This result may be raw data for establishment of surge protection system.
-
Recently, diagnosis techniques have been investigated to detect a partial discharge associated with a dielectric material defect in a high-voltage electrical apparatus However, the properties of detection technique of PD aren't completely understood because the physical process of PD. Therefore, this paper analyzes the process on Surface Discharge of Polymer Insulator using Wavelet transform. Wavelet transform provides a direct quantitative measure of spectral content in the time-frequency domain. As it is important to develop a non-contact method for detecting the Contamination Degree, this paper analyzes the electromagnetic waves emitted from PD using Wavelet transform. This paper experimentally shows the process of PD as a two-dimensional distribution in the time-frequency domain. This method is shown to be useful for detecting prediction of contamination degree.
-
VLSI 소자에서 design rule(D/R)이 작아져 각 단위 Pattern의 size가 작아짐에 따라 aspect ratio가 커지게 되었다. 산화막 contact etch를 하는데 있어 산화막 측벽을 보호하는데, 이러한 보호막은 주로 fluoro-carbon 계열의 polymer precursor들이 사용된다. Aspect ratio(A/R)가 5 이하일 때에는 측벽의 보호막에 의한 바닥 변형이 문제가 되지 않으나, 10 이상의 A/R를 가진 contact에서는 크기가 줄고, 모양이 불균형하게 변하는 바닥 변형을 쉴게 관찰할 수 있다. 이러한 바닥 변형이 커지면 contact 저항이 높아지는 것은 물론이고, 심하게는 하부 pattern과 overlap 불량을 유발할 수 있다. 본 논문에서는 바닥변형을 일으키는 원인을 분석하고 fluoro-carbon 계열의 polymer precursor의 종류
$(C_4_F6\;vs.\;C_3F_8)$ 에 따른 polymer증착 상태 확인 및 pattern비대칭에 따른 바닥 변형의 고찰과 plasma etching 시 H/W 변형을 통해 바닥 변형이 거의 없는 조건을 찾아낼 수 있었다. -
BSCCO thin films have been fabricated by epitaxy growth at an ultra-low growth rate. The growth rates of the films was set in the region from 0.17 to 0.27 nm/min. MgO(100) was used as a substrate. In order to appreciate stable existing region of Bi 2212 phase with temperature and ozone pressure, the substrate temperature was varied between 655 and 820
$^{\circ}C$ and the highly condensed ozone gas pressure(PO3) in vacuum chamber was varied between$2.0{\times}10^{-6}$ and$2.3{\times}10^{-5}$ Torr. Bi 2212 phase appeared in the temperature range of 750 and 795$^{\circ}C$ and single phase of Bi 2201 existed in the lower region than$785\;^{\circ}C$ . Whereas,$PO_3$ dependance on structural formation was scarcely observed regardless of the pressure variation. And high quality of c-axis oriented Bi 2212 thin film with$T_c$ (onset) of about 90 K and$T_c$ (zero) of about 45 K is obtained. Only a small amount of CuO in some films was observed as impurity, and no impurity phase such as$CaCuO_2$ was observed in all of the obtained films. -
Ozone is usually generated from oxygen gas using a silent discharge apparatus and its concentration is less then 10 mol%. An ozone condensation system is constructed for metal oxide thin film fabrication. Ozone is condensed by the adsorption method, which is widely used for the growth of oxidation thin films such as superconductor. Highly condensed ozone is analyzed by three methods; ultraviolet absorption, thermal decomposition and Q-mass analyzing methods. Thermal decomposition method is most effective in the highly condensed ozone region and its method is superior to Q-mass analyzer for determining ozone concentration because of the simplicity of the method.
-
GIS equipment has problems on confidence according to long-time usage, development of diagnosis technique has been importantly recognized accordingly. Therefore. measurement and analysis of PD has been generally used much equipment of GIS. But, in case of measurement of PD at field, real trouble signals are difficult to classify noise. Accordingly, a variety of trouble conditions for DS were simulated, and detected signals were analyzed by the application of electrical and mechanical methods. For this analysis, detected signals were accumulated according to phase-magnitude with the application of Induction sensor, and then we analyzed the characteristics. For the simulation experiment, we made DS for 170kV GIS and analyzed the characteristics of detected signals with the application of neural network algorithm.
-
In recent years, the supercapacitor and hybrid capacitor have related with substitutional energy source focused of many scientists because of their usage in power sources for electric vehicles, computers and other electric devices. The storage energy of electrical charge is based on electrostatic interactions in the electric double layer at the electrode/electrolyte interface, resulting in high rate capability and long cycle performance compared with batteries based on Faradaic electrode reactions. So we have been considered to carbon nanofibers as the ideal material for supercapacitors due to their high utilization of specific surface area, good conductivity, chemical stability and other advantages. In this work, we aimed to find out that the capacitance have increased because of electrochemical capacitance to provide by carbon nanofibers. Also carbon nanofibers based on chemical method and water treatment have been resulted larger capacitances and also exhibit better electrochemical behaviors about 15% than before of nontreated state. And also optical observations with treated and nontrteated carbon nanofibers discussed by the TEM, SEM, EDX, BET works and specific surface area analyzer. Their results also focused on the surface area of electrode and electrical capacitance was also improved by the effect of surface treatments.
-
Fabrication and characterization of dye-sensitized TiO2 solar cells(DSSC) consisting of spray-coated TiO2 electrode, an electrolyte containing I-/I3- redox couple, and a Pt-coated counter electrode carried out, using mainly FE-SEM and solar simulator. Also, effect of rapid thermal annealing(RTA) temperatue on I-V curves of DSSCS consisting of approximately 10m thickness and
$5{\times}5mm2$ active area. No significant difference in the apparent size of TiO2 clusters was observed with increasing RTA temperature. Also, an open circuit voltage(Voc) of approximately 0.70V and a short-circuit photocurrent(Jsc) of 8 to 12mA/cm2 were observed in the TiO2 solar cell. With increasing RTA temperature upto 550oC, photocurrent density of dye-sensitized solar cells was enhanced, leading to enhancing the efficiency of dye-sensitized solar cells having Pt-electroplated counter electrode. -
염료감응형 태양전지는 다공질
$TiO_2$ 전극막, 광감응형 염료, 전해질, 상대전극으로 구성된, 전기화학적 원리를 응용한 신형태양전지이다. 염료감응형 태양전지의 상대전극으로 주로 Pt가 사용되고 있는데 본 연구에서는 탄소나노튜브를 사용하여 상대전극으로서의 가능성을 조사하였다. 제조된 탄소나노튜브 상대전극은 cyclic voltammetry와 Impedance spectroscopy을 이용하여 전기화학적 특성을 측정하였다. 또한 탄소 나노튜브 상대 전극이 태양전지의 효율 및 그 특성에 미치는 영향을 알아보기 위하여 단위 셀 태양전지를 제조하여 단파장 하에서의 광전특성을 측정하고, 이를 바탕으로 탄소나노튜브의 상대전극으로서의 가능성을 제시하였다. -
최근 고유가 시대를 맞으면서 대체 에너지로서 무한자원인 태양빛을 이용하는 염료감응형 태양전지에 대한 관심이 급증하고 있으며, 이미 오래전부터 이에 대한 연구는 이루어져왔다. 한편, 염료감응형 태양전지를 구성하는 여러 분야 중 산화물 전극이나 전해질 또는 염료에 대한 연구는 많은 관심속에 진행되어오고 있는데 반해 상대전극에 대한 연구는 미비한 실정이다. 이에 본 연구에서는, 일반적으로 태양전지의 상대전극으로 사용되어오고 있는 Pt를 스퍼터링법 및 전기도금법을 이용하여 증착한 후 AFM을 통한 표면 형상 및 전기화학적 특성을 바탕으로 비교하여 태양전지의 상대전극으로서 적합한 제조 조건을 결정하였다.
-
Yoon, Sang-Joon;Kim, Won-Hyo;Seong, Woo-Kyeong;Park, Young-Geun;Hwang, Kyu-Ho;Chung, Kwan-Soo 699
Next generation lithography technologies, such as EBL(Electron Beam Lithography), X-ray lithography, SPL(Scanning Probe Lithography), have been studied widely for getting over line width limitation of photolithography. Among the next generation lithography technologies, SPL has been highlighted because of its high resolution advantage. But is also has problem which are slow processing time and sample size limitation. The purpose of this study is complement of present SPL system. Brand new SPL system was made. SPL test was performed with the system in ultra thin PMMA(polymethlymethacrylate) film. -
공정기술의 발달과 컴퓨터 구조적인 발전에 의해서, 시스템의 동작속도가 기하급수적으로 증가하고 있다. 동작속도의 증가는 CMOS로 구현된 chip의 RC 특성에 의해서 timing variation 문제가 발생할 가능성이 높아지면서 테스트 비용이 전체 설계비용에서 차지하게 되는 비중이 급격하게 증가하고 있다. 따라서 온라인 테스트와 진화하드웨어 등이 테스트 비용감소를 위해서 연구되고 있다. 본 논문에서는 네트워크프로세서의 생존성을 위해서, 패킷엔진의 pipline의 각 stage사이의 clock slack borrowing을 이용해서 timing variation 문제를 자체적으로 해결할 수 있다는 것을 mixed-mode simulation을 통해서 통합 검증하였다. 또한 기존의 off-chip 진화하드웨어에 비해서 on-chip구현을 통해서 진화하드웨어의 성능향상과 메모리에 의해서 발생하는 overhead를 감소시키는 것이 가능함을 확인했다.
-
Chemical mechanical polishing (CMP) process has been widely used to planarize dielectric layers, which can be applied to the integrated circuits for sub-micron technology. Despite the increased use of CMP process, it is difficult to accomplish the global planarization of in the defect-free inter-level dielectrics (ILD). In this paper, we have investigated slurry properties and CMP performance of silicon dioxide (oxide) as a function of different temperature of slurry. Thermal effects on the silica slurry properties such as pH, particle size, conductivity and zeta potential were studied. Moreover, the relationship between the removal rate (RR) with WIWNU and slurry properties caused by changes of temperature were investigated. Therefore, the understanding of these temperature effects provides a foundation to optimize an oxide CMP Process for ULSI multi-level interconnection technology.
-
Chemical mechanical polishing (CMP) has been widely accepted for the global planarization of multi-layer structures in semiconductor manufacturing. However, it still has various problems to the CMP equipment, in particular, among the CMP components, process variables are very important parameters in determining the removal rate and non-uniformity. Using a design of experiment (DOE) approach, this study was performed investigating the interaction between the various parameters such as turntable and head speed, down force and back pressure during CMP. Using statistical analysis techniques, a better understanding of the interaction behavior between the various parameters and the effect on removal rate, no-uniformity and ETC (edge to center) is achieved.
-
In this paper, we studied the characteristics of new polishing pad, which can apply W-CMP process for global planarization of multi-level interconnection structure. The hardness and density were measured as a function of groove pattern. Also, we compared the pore size through the SEM photograph. Finally, we investigated the CMP characteristics with five different kind of groove pattern sample. Through the above results, we can select optimum groove pattern, so we can expect to begin home product of polishing pad.
-
In this work, we applied the chemical mechanical polishing (CMP) process to the planarization of ferroelectric film. We compared the structural characteristics of BST
$(Ba_{0.6}Sr_{0.4}TiO_3)$ films before and after the CMP process. Their dependence on slurry composition was also investigated. Finally, we suggest the self-developed titania$(TiO_2)$ mixed abrasive slurry (MAS) for FRAM applications. Our experimental results on the ferroelectric film are encouraging for the next generation of FRAM applications. -
In this work, we have studied the CMP characteristics by mixing of original slurry and used slurry in order to investigated the possibility of recycle of used silica slurry. The removal rate and within-wafer non-uniformity (WIWNU) were measured as a function of different slurry composition. Also, we compared the CMP characteristics between self-developed KOH-based silica abrasive slurry and the original slurry. Our experimental results revealed comparable removal rate and good planarity with commercial products.
-
In this paper, so as to investigate the influence of oxidizer for each metal film using the alumina-based slurry, we have peformed the W/Ti metal-CMP process by adding
$H_2O_2$ as a representative oxidizer from 1 wt% to 9 wt%, respectively. As an experimental result, for the case of 5 wt% oxidizer added, the removal rates were improved and polishing selectivity of 1.4 : 1 was obtained. Also, we compared the effects of oxidizer or W-CMP process with three different kind of oxidizers with 5 wt% hydrogen peroxide such as$Fe(NO_3)_3$ ,$H_2O_2$ , and$KIO_3$ . Finally, atomic force microscope (AFM) measurements were carried out for the analysis of surface morphology and root mean square (RMS) roughness after CMP Process. -
As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. Polishing pads play a key role in CMP, which has been recognized as a critical step to improve the topography of wafers for semiconductor fabrication. It is investigated the performance of
$SiO_2-CMP$ process using commercial silica slurry as a pad conditioning temperature increased after CMP process. This study also showed the change of SEM images in the pore geometry on the CMP pad surface after use with a different pad conditioning temperature.