Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference (한국전기전자재료학회:학술대회논문집)
The Korean Institute of Electrical and Electronic Material Engineers
- Annual
2008.11a
-
21 세기 제 3의 산업혁명을 가져올 것으로 기대되는 나노기술(NT), 정보기술(IT), 바이오기술(BT)은 전 세계 과학자들의 마음을 사로잡고 있다. 이 가운데 나노기술은 전자산업에 응용시 그 기대효과는 우리가 상상하는 이상의 것이라 예상하고 있다. 나노기술에 특히 관심을 가지는 이유는 물질이 마이크로미터 크기로 작아져도 벌크 물질의 물리적 특성이 그대로 유지되지만, 나노미터 크기가 되면서 우리가 경험하지 못했던 새로운 물리적 특성들이 발현되기 때문이다. 그 특성에는 양자구속효과, Hall-Petch 효과, 자기효과 등이 있다. 나노기술의 구현은 양자점과 같은 영차원 나노입자, 나노와이어, 나노막대, 나노리본 등과 같은 직경이 100nm 이하의 일차원 구조의 나노물질 및 나노박막과 기타 100nm 이하의 나노구조물들이 사용된다. 현재 일차원 구조를 이용한 전자디바이스화 연구는 결정성장을 정확하게 조절하는 합성기술 합성된 일차원 나노물질의 물리적 특성을 지배하는 각종 파라미터들과 물리적 특성들과의 상관관계 정립, 나노와이어를 이용한 Bottom-up 방식에 의한 조립기술 확보를 위해 활발히 진행 중이다. 하지만 나노구조의 특성을 확인하는 형태의 연구일 뿐, 실제 디바이스화에는 여전히 많은 과제를 안고 있다. 본 연구에서는 산화아연을 기반으로 한 고품위 능동형 산화물 나노구조의 다양한 성장방법 및 물성 평가에 대해 연구하였다. 성장장비로는 MOCVD와 스퍼터링을 이용하여 대면적 균일 성장을 이룰 수 있었다. 특히 실제 광전소자에 응용요구에 알맞은 Bottom-up 방식에 의한 수직성장 기술, 길이/직경 비 향상 기술, 결정성 향상 기술, 저온성장 기술, Dimension 조절 기술 Interfacial layer 제거 기술 등을 중점적으로 연구하였다. Dimension 조절 기술로 p-Si 기판위에 성장된 나노 LED에서는 밝은 emission을 관찰하였으며, 세계에서 최초로 스퍼터링을 이용하여 4인치 웨이퍼에 대면적 수직 성장하였다. 최근에는 선택적 삼원계 씨앗층을 이용한 길이/직경 비가 매우 향상된 MgZnO 나노와이어를 Interfacial layer 없이 수직으로 성장하여 산화물 전계방출 에미터로서의 가능성을 확인하였다.
-
소형화, 고신뢰성 그리고 고안정성을 갖는 차세대 전자, 통신용 및 의료용 전자 소자에 대한 요구의 증대에 따라 이의 개발이 가속화되고 있다. 이러한 개발에 있어서 핵심적 문제의 하나는 소자 구동을 위한 초소형 고출력 동력원의 개발이다. 이러한 요구 조건에 가장 잘 부합되는 초소형 동력원은 재료공학, 박-후막 공정 및 전기화학기술을 도입하여 제작되는 박막 전지이다. 박막 전지 기술은 재료공학기술, 나노 고정 기술, 박-후막제조기술, 전기화학기술, 마이크로공정기술, 반도체기술 및 집적화를 위한 시스템화 기술을 종합해야하는 기술로 전기, 전자 분야의 급속한 발전과 함께 진행되고 있는 통신, 전자기기 및 의료기기의 초소형화를 가능하게 하는 특징을 가지고 있다. 이 기술은 이차전지(또는 경우에 따라서는 박막형 슈퍼캐패시터와 하이브리드화) 등을 효과적으로 소형, 고출력 및 고안정화하는 기술이 핵심이며 박-후막형 전지의 최적 구동을 위한 시스템 및 나노 재료 기술에 의해서 구현되는 신 개념의 마이크로 파워 소스이다. 이번 발표에서는 박막 전지의 개발 배경과 몇 가지의 기술적 접근의 예를 제시하고자 한다. 특히 최근에는 박막 전지의 개발은 재료, 공정(후-박막 기술) 및 평가 기술 분야에 서의 기여가 매우 중요하다는 것을 인식하게 되었으며 이러한 과정에서 박막 전지의 개발은 기술적인 면에서 단순히 특정 단일 분야의 주도가 아닌 기술간 융합적 접근(예를 들어 재료와 반도체 공정 또는 이온 재료와 전자 재료 간의 융합)의 필요성이 매우 높아지고 있음을 제시하고자 한다.
-
The memory characteristics of charge trap flash (CTF) with
$HfO_2$ charge trap layer were investigated. Especially, we focused on the effects of tunnel barrier engineering consisted of$SiO_2/Si_3N_4/SiO_2$ (ONO) stack or$Si_3N_4/SiO_2/Si_3N_4$ (NON) stack. The programming and erasing characteristics were significantly enhanced by using ONO or NON tunnel barrier. These improvement are due to the increase of tunneling current by using engineered tunnel barrier. As a result, the engineered tunnel barrier is a promising technique for non-volatile flash memory applications. -
일반적으로 섬광법으로 열전도율을 구하기 위해서는 섬광법으로 열확산계수를 측정하고, 시차주사열량계(Differential Scanning Calorimetry, DSC)로 비열측정을 하며 아르키메데스의 원리를 이용한 용적밀도를 구하여 이들 각각의 값을 사용하여 열전도율을 얻는다. 따라서 열전도율을 정밀하게 측정하기 위해서는 이 세 가지 물성치를 측정할 때 수반되는 오차요인을 종합적으로 검토하여 개선하는 것이 매우 중요하다. 섬광법으로 열확산계수를 측정할 때 시료의 전면에 조사되는 빛의 흡수율을 향상시키고 배면에서의 온도상승의 감지를 증대할 목적으로 시료 양면에 흑연코팅을 하게 된다. 이때 코팅된 흑연이 시료에 부가적으로 열저항을 증가시켜서 열확산계수를 측정하는데 가장 큰 오차요인이 되고 있다. 한편 비열은 대부분 DSC로 측정하는데, 시료와 용기의 열접촉 정도에 따라 큰 오차요인이 되기도 한다. 본 연구에서는 열확산계수를 정밀하게 측정하기 위해서 시료에 부가적인 열저항으로 작용하는 흑연코팅의 두께와 시료배면에서의 온도상승곡선 간의 상관관계를 실험식으로 도출하였으며 이방법은 열확산계수를 정밀하게 측정하는데 매우 유효한 방법임이 입증되었다. 또한 DSC의 접촉에서의 문제점을 해결하기 위해서 시료배면에서의 무차원 시간축(t/
$t_{max}$ )을 도입하였으며. 무차원 시간축에 따른 온도상승 곡선에서 표준시료와 측정시료의 half time($t_{1/2}$ )의 0.5 배와 1.5배 사이 구간을 적분한 뒤 비교하여 열량계산으로부터 비열을 구하는 방법을 새롭게 개발하였으며 기존의 DSC에 비하여 정밀도를 향상시킬 수 있었다. 결론적으로 새롭게 제안된 측정기법들은 열확산계수 및 비열 혹정 시의 근본적인 오차요인을 혁신적으로 해결함으로써 정밀하고 신뢰성 있는 열전도율을 측정할 수 있음을 입증할 수 있었다. -
Yu, Ji-Won;Zhang, Ying-Ying;Park, Kee-Young;Li, Shi-Guang;Zhong, Zhun;Jung, Soon-Yen;Yim, Kyoung-Yean;Lee, Ga-Won;Wang, Jin-Suk;Lee, Hi-Deok 10
Silicide is inevitable for CMOSFETs to reduce RC delay by reducing the sheet resistance of gate and source/drain regions. Ni-silicide is a promising material which can be used for the 65nm CMOS technologies. Ni-silicide was proposed in order to make up for the weak points of Co-silicide and Ti-silicide, such as the high consumption of silicon and the line width limitation. Low resistivity NiSi can be formed at low temperature ($\sim500^{\circ}C$ ) with only one-step heat treat. Ni silicide also has less dependence of sheet resistance on line width and less consumption of silicon because of low resistivity NiSi phase. However, the low thermal stability of the Ni-silicide is a major problem for the post process implementation, such as metalization or ILD(inter layer dielectric) process, that is, it is crucial to prevent both the agglomeration of mono-silicide and its transformation into$NiSi_2$ . To solve the thermal immune problem of Ni-silicide, various studies, such as capping layer and inter layer, have been worked. In this paper, the Ni-silicide utilizing Pd stacked layer (Pd/Ni/TiN) was studied for highly thermal immune nano-scale CMOSFETs technology. The proposed structure was compared with NiITiN structure and showed much better thermal stability than Ni/TiN. -
ZnO has a very large exciton binding energy (60 meV) as well as thermal and chemical stability, which are expected to allow efficient excitonic emission, even at room temperature. ZnO based electronic devices have attracted increasing interest as the backplanes for applications in the next-generation displays, such as active-matrix liquid crystal displays (AMLCDs) and active-matrix organic light emitting diodes (AMOLEDs), and in solid state lighting systems as a substitution for GaN based light emitting diodes (LEDs). Most of these electronic devices employ the electrical behavior of n-type semiconducting active oxides due to the difficulty in obtaining a p-type film with long-term stability and high performance. p-type ZnO films can be produced by substituting group V elements (N, P, and As) for the O sites or group I elements (Li, Na, and K) for Zn sites. However, the achievement of p-type ZnO is a difficult task due to self-compensation induced from intrinsic donor defects, such as O vacancies (Vo) and Zn interstitials (
$Zn_i$ ), or an unintentional extrinsic donor such as H. Phosphorus (P) doped ZnO thin films were grown on c-sapphire substrates by radio frequency magnetron sputtering with various Ar/$O_2$ gas ratios. Control of the electrical types in the P-doped ZnO films was achieved by varying the gas ratio with out post-annealing. The P-doped ZnO films grown at a Ar/$O_2$ ratio of 3/1 showed p-type conductivity with a hole concentration and hole mobility of$10^{-17}cm^{-3}$ and$2.5cm^2/V{\cdot}s$ , respectively. X-ray diffraction showed that the ZnO (0002) peak shifted to lower angle due to the positioning of$p^{3-}$ ions with a smaller ionic radius in the$O^{2-}$ sites. This indicates that a p-type mechanism was due to the substitutional Po. The low-temperature photoluminescence of the p-type ZnO films showed p-type related neutral acceptor-bound exciton emission. The p-ZnO/n-Si heterojunction LEO showed typical rectification behavior, which confirmed the p-type characteristics of the ZnO films in the as-deposited status, despite the deep-level related electroluminescence emission. -
Ruthenium is one of the noble metals having good thermal and chemical stability, low resistivity, and relatively high work function(4.71eV). Because of these good physical, chemical, and electrical properties, Ru thin films have been extensively studied for various applications in semiconductor devices such as gate electrode for FET, capacitor electrodes for dynamic random access memories(DRAMs) with high-k dielectrics such as
$Ta_2O_5$ and (Ba,Sr)$TiO_3$ , and capacitor electrode for ferroelectric random access memories(FRAMs) with Pb(Zr,Ti)$O_3$ . Additionally, Ru thin films have been studied for copper(Cu) seed layers for Cu electrochemical plating(ECP) in metallization process because of its good adhesion to and immiscibility with Cu. We investigated Ru thin films by thermal ALD with various deposition parameters such as deposition temperature, oxygen flow rate, and source pulse time. Ru thin films were grown by ALD(Lucida D100, NCD Co.) using RuDi as precursor and$O_2$ gas as a reactant at 200~$350^{\circ}C$ . -
$Al_2O_3$ thin films were deposited on GaN (0001) by remote plasma atomic layer deposition (RPALD) technique using trimethylaluminum (TMA) precursor and oxygen radicals in the temperature range of 25 ~$500^{\circ}C$ . Growth rate per cycle was varied with substrate temperature from 1.8$\breve{A}$ / cycle at$25^{\circ}C$ to 0.8$\breve{A}$ /cycle at$500^{\circ}C$ . The chemical structure of the$Al_2O_3$ thin films was studied using X-ray photo electron spectroscopy (XPS). Excellent electrical properties of$Al_2O_3$ /GaN MIS capacitor were grown at$300^{\circ}C$ process temperature. -
We have grown N-doped ZnO thin films on sapphire substrate by employing dielectric barrier discharge in pulsed laser deposition (DBD-PLD). DBD guarantees an effective way for massive in-situ generation of N-plasma under the conventional PLD process condition. Low-temperature photoluminescence spectra of the N-doped ZnO film provided near band-edge emission after thermal annealing process. The emission peak was resolved by Gaussian fitting and showed a dominant acceptor-bound exciton peak (
$A^0X$ ) that indicated the successful p-type doping of ZnO with N. -
Yim, Kyeong-Yeon;Park, Kee-Young;Zhang, Ying-Ying;Li, Shi-Guang;Zhong, Zhun;Jung, Soon-Yen;Lee, Ga-Won;Wang, Jin-Suk;Lee, Hi-Deok 17
In this paper, 1%-nitrogen doped Nickel was used for improvement of thermal stability of Ni-Germanide. Proposed Ni-N(1%)/TiN structure has shown better thermal stability, sheet resistance and less agglomeration characteristic than pure Ni/TiN structure. During the germanidation process, it is believed that the nitrogen atoms in the deposited nickel layer can suppress the agglomeration of Ni germanide by retarding the diffusion of Ni atoms toward silicon layer, hence improve the thermal stability of Ni-germanide. -
We investigated the programmable memory characteristics of nanoparticle-based memory devices based on the elementary metal nanoparticles (Co and Au) and their binary mixture synthesized by a micellar route to ordered arrays of metal nanoparticles as charge trapping layers. According to the metal nanoparticle species quite different programming/erasing efficiencies were observed, resulting in the tunable memory characteristics at the same programming/erasing bias conditions. This finding will be a good implication for further device scaling and novel device applications since most processes are based on the conventional semiconductor processes.
-
Ferroelectric vinylidene fluoride-trifluoroethylene (
$VF_2$ -TrFE) copolymer films were directly deposited on degenerated Si ($n^+$ , 0.002$\Omega{\cdot}cm$ ) using by dip coating method. A 1 ~ 3 wt% diluted solution of purified vinylidene fluoride-trifluoroethylene ($VF_2$ :TrFE=70:30) in a dimethylformamide (DMF) solvent were prepared and deposited on silicon wafers using dip coating method for 10 seconds. After Post-Annealing in a vacuum ambient at 100~200$^{\circ}C$ for 60 min, upper aluminum electrodes were deposited by thermal evaporation through the shadow mask to complete the MFS structure. The ferroelectric$\beta$ -phase peak of films, depending on the annealing temperature, started to show up around$125^{\circ}C$ , and the intensity of the peak increased with increasing annealing temperature. Above$175^{\circ}C$ , the peak started to decrease. The C-V characteristics were measured using a Precision LCR meter (HP 4284A) with frequency of 1MHz and a signal amplitude of 20 mV. The leakage-current versus electric-field characteristics was measured by mean of a pA meter/DC voltage source (HP 4140B). -
The conventional IGBT has two problems to make the device taking high performance. The one is high on state voltage drop associated with JFET region, the other is low breakdown voltage associated with concentrating the electric field on the junction of between p base and n drift. This paper is about the structure to effectively improve both the lower on state voltage drop and the higher breakdown voltage than the conventional IGBT. For the fabrication of the circular trench IGBT with the circular trench layer, it is necessary to perform the only one wet oxidation step for the circular trench layer. Analysis on both the on state voltage drop and the Breakdown voltage show the improved values compared to the conventional IGBT structure. Because the circular trench layer disperses electric field from p base and n drift junction to circular trench, the breakdown voltage increase. The on state voltage drop decrease due to reduction of JFET region and direction change of current path which pass through reversed layer channel.
-
The effect of heat treatment in
$HfO_2$ as charge trap with$SiO_2/Si_3N_4/SiO_2$ as tunnel oxide layer in capacitors has been investigated. Rapid thermal annealing (RTA) were carried out at the temperature range of 600 -$900^{\circ}C$ . It is found that all devices carried out heat treatment have large threshold voltage shift Especially, device performed heat treatment at$900^{\circ}C$ has been confirmed the largest memory window. Also, Threshold voltage shift of device used conventional$SiO_2$ as tunnel oxide layer was smaller than that with$SiO_2/Si_3N_4/SiO_2$ . -
본 연구는 투명전도성전극(TCO)인 ITO를 대체하기 위해 ZnO에
$Al_2O_3$ ,$SiO_2$ ,$TiO_2$ 의 불순물을 도핑하여 박막의 전기적 및 광학적 특성에 관한 연구를 하였다. 불순물 도핑은 2wt.%로 진행 하였고, 동일한 전압과 두께로 그 특성을 비교 하였으며, 특성으로는 UV-Vis를 이용한 광투과율 측정과 광투과율을 이용한 박막의 광학적 밴드갭과 굴절률을 계산 하였다. 전기적 특성으로는 4-Point Probe로 면저항과 비저항값을 측정하였다. -
일반적으로 전류에 의한 자속변화를 검출하는 로고스키코일은 자성체를 코어로 이용하는 종전의 변류기(Current Transformer)와는 달리 공심이거나 비자성재료를 사용하기 때문에 자기적으로 포화되지 않으므로 디지털 적산 전력량계의 전류센서로 많이 활용되고 있다. 본 논문은 유전손실이 적은 LTCC기판상에 로고스키코일의 원리가 적용된 전류감지코일을 구현하기 위해 Rogowski Coil의 선폭, gap, 센싱부와 소거부의 권선수와 패턴 길이의 비율을 조정하여 그 감도특성을 알아보았다.
-
Platinum Thin films were deposited on
$Al_2O_3$ by Rf magnetic Sputtering. The physical and electrical characteristics of these films were analyzed under various deposition conditions(Ar gas pressure, input power, substrate temperature.) and annealing condition. The deposition rate was increased with increasing the input power but not increased linear. In the other factor, The Pt thin films property was associated with resistance. so lower resistance had more and more good Pt thin films condition. For the purpose of this study, we will get the best Pt thin film characteristics. -
Lead-free piezoelectric ceramics 0.97
$(Na_{0.5}K_{0.5})NbO_3$ -0.03Ba$(Ti_{1-x}Sn_x)O_3$ [NKN-BTS-x] ceramics doped with 1 mol%$MnO_2$ have been fabricated by a sintering technique with muffling. The$MnO_2$ -doped NKN-BTS-x ceramics with x$\leq$ 0.2 have pure orthorhombic perovskite structure at room temperature. The dense microstructure was developed with grain growth as an increase of amount of Sn. Moreover, the addition of Sn was found to have a significant influence on piezoelectric properties. In particular, the$MnO_2$ -doped NKN-BTS-0.1 ceramics showed improved piezoelectric properties of piezoelectric constant ($d_{33}$ =145pC/N), relatively large electromechanical coupling factor ($k_p$ =43%), dielectic constant (${\varepsilon}^T_{33}/{\varepsilon}_0$ =676) dielectric loss (tan$\delta$ =1.3%). -
고주파 잡음 발생과 고집적화 문제 해결을 위해 고용량 디커플링 캐패시터를 기판에 내장하는 연구가 활발히 진행되고 있다. 본 연구에서는 초고주파 환경에서 고용량 기판 내장형 디커플링 캐패시터로의 응용을 위해
$BaTiO_3$ 박막을 에어로졸 데포지션 법을 이용하여 12~0.2${\mu}m$ 의 두께로 제조하였고 그 유전특성을 조사하였다. 그결과, 1 MHz에서 permittivity가 70, loss tangent은 3% 이하였으며, capacitance density는$1{\mu}m$ 의 두께에서 59 nF/$cm^2$ 이었다. 하지만, 박막의 두께가$1{\mu}m$ 이하에서는 XRD를 통해 결정성이 확인 되었음에도 큰 누설전류로 인해 유전특성을 확인할 수 없었다. 이 누설전류의 발생 원인을 조사하기 위해$BaTiO_3$ 박막의 표면의 미세구조를 SEM으로 관찰한 결과 여러 결함들이 확인되었으며, 또한 전극 직경의 크기를 1.5 mm에서 0.33 mm로 작게 변화시킴으로서 그 유전특성을 조사하여 박막의 불균일성과 박막화의 가능성을 확인하였다. -
Kim, Chul-Min;Cho, Yong-Soo;Jeong, Young-Hun;Lee, Young-Jin;Lee, Mi-Jae;Paik, Jong-Hoo;Lee, Woo-Young;Kim, Dae-Joon 32
Microstructure and positive temperature coefficient of resistivity (PTCR) characteristics of$0.9BaTiO_3-0.1(Bi_{0.5}Na_{0.5})TiO_3$ [BaBiNT] ceramics doped with$Nb_2O_5$ were investigated in order to develop the Pb-free high Curie temperature ($T_c$ )(>$160^{\circ}C$ ) PTC thermistor. The BaBiNT ceramics showed a tetragonal perovskite structure, irrespective of the added amount of$Nb_2O_5$ . They also have a homogeneous microstructure. The resistivity of BaBiNT ceramics was gradually decreased by doping$Nb_2O_5$ , which might be due to$Nb^{+5}$ ions substituting for$Ti^{+4}$ sites. The PTCR characteristics of BaBiNT ceramics appeared when the amount of doped$Nb_2O_5$ exceeded 0.0025mol%. Moreover, the abrupt grain growth was observed for the 0.03mol%$Nb_2O_5$ added BaBiNT ceramics. It showed an especially high$T_c$ of approximately$172^{\circ}C$ and good PTCR characteristics of a high$\rho_{max}/\rho_{min}$ ratio ($2.96\times10^3$ ), a high resistivity temperature factor (11.40/$^{\circ}C$ ) along with a relatively low resistivity ($3.5\times10^4\Omega{\cdot}cm$ ). -
Barium titanate (BaTiO3) is one of the most important dielectric materials for the electronic devices, such as MLCC (Multilayer Ceramic Capacitor). The thickness of the dielectric thin film in MLCC has become thinner and reached about 0.8
${\mu}m$ . Further down sizing is required for the higher performance. For this reason, we should take into account for the size effect of Barium titanate powders. In this study, we demonstrated that size effect for BaTiO3 (0.2 ~ 0.5${\mu}m$ , hydrothermal BT) could be estimates by using dielectric properties analysis together with the powder properties. -
PTC thermistor are characterized by an increase in the electrical resistance with temperature. The PTC materials of middle Curie point were produced or that of high Curie point (above
$200^{\circ}C$ ), it was determined that compositional modifications of$Pb^{2+}$ for$Ba^{2+}$ produce change sin the Curie point to higher temperature. PTC ceramic materials with the Curie point above$120^{\circ}C$ were prepared by adding$PbTiO_3$ , PbO or$Pb_3O_4$ into$BaTiO_3$ . Thereby, adding$Pb^{2+}$ into$BaTiO_3$ -based PTC material to improve Tc was studied broadly, however, weal know that PbO was poisonous and prone to volatilize, then to pollute the circumstance and hurt to people, so we should dope other innocuous additives instead of lead to increase Tc of composite PTC material. In order to prepare lead-free$BaTiO_3$ -based PTC with middle Curie point, the incorporation on$Bi_{1/2}K_{1/2}TiO_3$ into$BaTiO_3$ -based ceramics was investigated on samples containing 0, 1, 2, 3, 4, and 50mol% of$Bi_{1/2}K_{1/2}TiO_3$ .$Bi_{1/2}K_{1/2}TiO_3$ was compounded as standby material by conventional solid-state reaction technique. The starting materials were$Bi_2O_3$ ,$K_2CO_3$ ,$BaCO_3$ and$TiO_2$ powder, and using solid-state reaction method, too. The microstructures of samples were investigated by SEM, DSC, XRD and dielectric properties. Phase composition and lattice parameters were investigated by X-ray diffraction. -
에어로졸데포지션법을 이용하여 집적화 기판을 위한
$Al_2O_3$ -PMMA 복합체 후막을 상온에서 구리 기판 위 에 제조하였다. XRD, FT-IR 분석을 통해 코팅된 막은$Al_2O_3$ 와 PMMA의 혼합물로 존재함을 확인하였으며 성막 중$Al_2O_3$ . PMMA의 상호작용과 PMMA 파우더의 거동에 대한 분석을 통해$Al_2O_3$ -PMMA 복할체 후막의 성막 양상을 확인할 수 있었다. 또한 기판 거칠기에 따라 초기 계면의 양상이 달라질 수 있음을 확인하였고 이러한 초기 계면의 상태가$Al_2O_3$ -PMMA 복합체 후막의 제조에 있어 매우 중요함을 알 수 있었다. 본 연구에서는 에어로졸데포지션법을 이용한$Al_2O_3$ -PMMA 복합체 후막의 성막 양상을 통해 세라믹-폴리머 복합체의 제조에 있어서의 주요 변수들을 알아보고$Al_2O_3$ -PMMA 복합체 후막의 전기적인 특성을 확인하였다. -
이동통신 시스템의 소형화, 다기능화 추세에 따라 이동통신 부품들의 모듈화, 고집적화 추세로 급진전되고 있어, 고집적 세라믹 기판 모듈 제작을 위한 핵심공정 기술인 그린시트의 층간 정밀도 및 소성후 수축율 제어의 중요성이 증대되고 있다. 본 연구에서는 일축가압 이용한 PAS(Pressure Assisted Sintering) 법과 Al2O3를 희생층으로 이용한 Constrained Sintering법을 혼합하여 저온 동시소성 세라믹 기판의 x-y 축 수축율을 zero로 제어하고자하였다.
$Al_2O_3$ /LTCC/$Al_2O_3$ 인 샌드위치 구조로 세라믹 시트를 적층하여 Load 값과, LTCC 두께에 따른 x-y축, z축 소성 수축율 및 Edge Curvature의 Radius와 warpage 현상을 관찰하고, 이때 미세구조 및 밀도를 측정하였다. 그 결과 symmetic한 구조일 때 소성온도$900^{\circ}C$ 에서$Al_2O_3$ 두께가$30{\mu}m$ 이상일 때 LTCC의 글라스가$Al_2O_3$ 에 Infiltration 되는 두께는$30{\mu}m$ 를 나타내었다. 또한$Al_2O_3$ 두께$500{\mu}m$ , LTCC 두께$2,000{\mu}m$ , Load값이 800g/$cm^2$ 일 때 x-y 축 수축율<1%, z축 수축율 40%, 소결밀도는 2.99g/$cm^3$ 로 우수한 무수축 기판 특성을 나타내었다. -
$InGaZnO_4$ based thin film transistors (TFTs) are of interest for large area and low cost electronics. The TFTs have strong potential for application in flat panel displays and portable electronics due to their high field effect mobility, high on/off current ratios, and high optical transparency. The application of such room temperature processed transistors, however, is often limited by the operation voltage and long-tenn stability. Therefore, attaining an optimum thickness is necessary. We investigated the thickness dependence of a room temperature grown$MgO_{0.3}BST_{0.7}$ composite gate dielectric and an$InGaZnO_4$ (IGZO) active semiconductor on the electrical characteristics of thin film transistors fabricated on a polyethylene terephthalate (PET) substrate. The TFT characteristics were changed markedly with variation of the gate dielectric and semiconductor thickness. The optimum gate dielectric and active semiconductor thickness were 300 nm and 30 nm, respectively. The TFT showed low operating voltage of less than 4 V, field effect mobility of 21.34 cm2/$V{\cdot}s$ , an on/off ratio of$8.27\times10^6$ , threshold voltage of 2.2 V, and a subthreshold swing of 0.42 V/dec. -
Ha, Dong-Woo;Kim, Tae-Hyung;Baik, Seung-Kyu;Oh, Sang-Soo;Ha, Hong-Soo;Ko, Rock-Kil;Kim, Ho-Sup;Kim, Young-Hun 41
제지산업은 다량의 용수를 사용하면서 또한 많은 양의 폐수를 배출하고 있다. 기존의 폐수처리 공정에서는 침전처리를 위한 큰 저수조와 오랜 침강 시간이 요구되어 제한된 공장 내에서의 처리에 어려움이 많다. 이러한 기존 기술의 문제점을 보완하면서도 새로운 고도처리가 가능한 초전도 마그네트를 이용한 자기분리 기술을 적용하고자 하였다. 자기문리의 기본 원리는 강력한 자기력에 의하여 액체에 포함된 자성입자를 분리해내는 것으로 자성입자들이 자계의 힘에 의하여 잡아당겨지고 포획됨으로서 제거되는 것이다. 자기분리용 솔레노이드 마그네트로 초전도마그네트를 적용하게 되면 아주 높은 고구배의 자장(HGMS; High Gradient Magnetic Separation) 을 발생시킬 수 있다. 초전도마그네트와 체(sieve) 형 자기필터를 이용하면 대공간에 전력손실 없이 고자장을 발생시킬 수 있기 때문에 미립자를 효과적으로 고속으로 분리하는 것이 가능해지며 또한 상자성 미세입자까지도 처리할 수 있다. 본 연구에서는 주로 유기물로 구성된 제지며|수의 부유물을 자성체와의 응집반응에 의해 플록을 형성하여 자성 플록의 자기분리 효과를 연구하였다. 자성응집반응의 특성을 평가하기 위하여 전자석 시스템을 제작하였으며 배치타입의 자기필터를 설계 제작하였다. 또한 응집제의 종류와 응집반응 공정에 따른 자성플록의 형성 정도를 조사하였으며 자기분리 후 폐수의 탁도, SS 등의 특성을 분석하였다. 그림 1은 자성응집반응의 특성을 평가하기 위하여 제작한 전자석 시스템을 나타내고 있으며 전자석의 자장해석 결과를 보이고 있다. -
The numerous application of ceramic superconducting bulk such as magnetic levitation train flywheel energy, levitation transpormation, magnetic bulk magnet etc. To obtain YBaCuO materials in the form of large single crystals are necessary. A refreshment and uniform distributon of the superconducting particle in the sample. The enhancement of the critical density was ascribe to a fine dispersion of the superconducting particle.
-
Ha, H.S.;Lee, J.H.;Oh, J.G.;Ko, R.K.;Kim, H.S.;Ha, D.W.;Oh, S.S.;Kim, H.K.;Yang, J.S.;Jung, S.W.;Moon, S.H.;Park, C.;Yoo, S.I.;Youm, D. 44
GdBCO coated conductor have been fabricated using reactive co-evaporation. The batch type co-deposition system was specially designed and was named EDDC (evaporation using drum in dual chamber) that is possible to deposit superconducting layer with optimum composition ratio of materials at temperature over$700^{\circ}C$ and several mTorr of oxygen. The IBAD-MgO substrate with the architecture of LaMnO3(LMO)/IBAD-MgO/Hastelloy was used for coated conductor. In this study, GdBCO superconducting layer was deposited on IBAD-MgO substrate at optimal oxygen partial pressure (pO2) and deposition temperature. After fabrication of GdBCO coated conductor, critical current density was measured by 4-probe method. Surface morphology and texture of GdBCO coated conductors were analyzed by the SEM and XRD, respectively. -
In recent years, new materials and technology has been developed using single-walled carbon nanotubes (SWCNTs) as an alternative to indium tin oxide (ITO) to fulfil the requirements towards novel technological drive. These technologies offer products having a broad range of conductivity, excellent transparency, neutral color tone, good adhesion, abrasion resistance as well as mechanical robustness. In addition, SWCNTs can be solution processed to replace the sophisticated vacuum techniques at high temperatures. In the present work, transparent conducting films were fabricated from the purified SWCNTs. Dispersion of purified SWCNTs was accomplished in 1,2-dichlorobenzene without using surfactants or polymers following ultrasonic process. We achieved coating of nanotubes film on poly ether suiphone (PES) for an average sheet resistance ~110
${\Omega}/{\Box}$ of optical transmittance 80% at 550 nm. Conventional spin coating method was followed to fabricate films from the purified and dispersed nanotubes solution. The results will be presented. -
Organic light-emitting diode is quick response speed, low power consumption and the self-interest has many advantages, such as insanity. So, organic light-emitting diode mechanism of light-emitting diode in order to more clearly understand the changes in the thickness of emitting materials for OLED characteristics of the simulation. emitting layer to a thickness of 10 [nm] ~ 100 [nm] changed the experiment, and hole transport layer 190 [nm] as a fixed. and emitting layer 10 [nm] ~ 100 [nm] to change the simulation results. Changes in the thickness of emitting layer gradually increased. depending on the emitting was 20 [nm] in the high 441 [lm / W] shows. and was gradually reduced. emitting layer 190 [nm] when fixed, hole transport layer, depending on changes in the thickness of 70 [nm] in the efficiency maximum value of 477 [lm / W], and was gradually reduced.
-
Cho, Do-Hyun;Kim, Ji-Hwan;Lee, Jae-Hwan;Ryu, Sung-Won;Sohn, Sun-Young;Park, Sung-Hwan;Kim, Jong-Jae 48
본 실험에서는 대향 타겟식 스퍼터링 (face target sputtering, FTS) 장비를 사용하여 플렉서블 디스플레이용 poly ethylene naphthalate (PEN) 플라스틱 기판 위에 보호층으로 사용된$ZrO_2$ 박막의 특성들에 대해 연구하였다. FTS에 의해 3 시간동안 증착된$ZrO_2$ 박막의 기판 온도는$69^{\circ}C$ 로 낮은 증착 온도를 나타내었으며, 이는 유리전이온도가 낮은 PEN 과 같은 플라스틱 기판위에 박막 증착시 적용하기에 적합하다. 제작된$ZrO_2$ 박막에서 기판 중심으로부터 거리의 함수로 측정된 박막의 두께 차이는 약 4.5%로 매우 균일한 두께를 갖는 것으로 측정되었다. -
ZnO has been extensively studied for optoelectronic applications such as blue and ultraviolet (UV) light emitters and detectors, because it has a wide band gap (3.37 eV) anda large exciton binding energy of ~60 meV over GaN (~26 meV). However, the fabrication of the light emitting devices using ZnO homojunctions is suffered from the lack of reproducibility of the p-type ZnO with high hall concentration and mobility. Thus, the ZnO-based p-n heterojunction light emitting diode (LED) using p-Si and p-GaN would be expected to exhibit stable device performance compared to the homojunction LED. The n-ZnO/p-GaN heterostructure is a good candidate for ZnO-based heterojunction LEDs because of their similar physical properties and the reproducibleavailability of p-type GaN. Especially, the reduced lattice mismatch (~1.8 %) and similar crystal structure result in the advantage of acquiring high performance LED devices with low defect density. However, the electroluminescence (EL) of the device using n-ZnO/p-GaN heterojunctions shows the blue and greenish emissions, which are attributed to the emission from the p-GaN and deep-level defects. In this work, the n-ZnO:Ga/p-GaN:Mg heterojunction light emitting diodes (LEDs) were fabricated at different growth temperatures and carrier concentrations in the n-type region. The effects of the growth temperature and carrier concentration on the electrical and emission properties were investigated. The I-V and the EL results showed that the device performance of the heterostructure LEDs, such as turn-on voltage and true ultraviolet emission, developed through the insertion of a thin intrinsic layer between n-ZnO:Ga and p-GaN:Mg. This observation was attributed to a lowering of the energy barriers for the supply of electrons and holes into intrinsic ZnO, and recombination in the intrinsic ZnO with the absence of deep level emission.
-
Carbon nanotubes (CNTs) have long been reported as an ideal material due to their excellent electrical conductivity and chemical and mechanical stability as well as their high aspect ratios for field emission devices. CNT emitters made by screen printing the organic binder-based CNT paste may act as a source to release gases inside a vacuum panel. These residual gases may cause a catastrophic damage by electrical arcing or ion bombardment to the vacuum microelectronic devices and may change their physical or electrical properties by adsorbing on the CNT emitter surface. In this study, we analyzed the composition of residual gases inside the vacuum-sealed panel by residual gas analyzer (RGA), investigating the effects of individual gases of different kinds at several pressures on the field emission characteristics of CNT emitters. The residual gases included
$H_2$ , CO,$CO_2$ ,$N_2$ ,$CH_4$ ,$H_2O$ ,$C_2H_6$ , and Ar. Effect of residual gases on the field emission was studied by observing the variation of the pulse voltages with the duty ratio of3.3% to keep the constant emission current of$28{\mu}A$ . Each gas species was introduced to a vacuum chamber up to three different pressures ($5\times10^{-7}$ ,$5\times10^{-6}$ , and$5\times10^{-5}$ torr) each for 1 h while electron emission was continued. The three different pressure regions were separated by keeping a high vacuum of$\sim10^{-8}$ torr for a 1 h. The emission was terminated 6 h after the third gas exposure was completed. Field emission characteristics under residual gases will be discussed in terms of their adsorption and desorption on the surface of CNTs and the resultant change of work function. -
The authors investigated the InGaN/GaN multi-quantum well blue light emitting diodes with the implements of the photonic crystals fabricated at the top surface of p-GaN layer or the bottom interface of n-GaN layer. The top photonic crystals result in the lattice-dependent photoluminescence spectra for the blue light emitting diodes, which have a wavelength of 450nm. However, the bottom photonic crystal shows a big shift of the photoluminescence peak from 444 nm to 504 nm and played as a role of quality enhancement for the crystal growth of GaN thin film. The micro-Raman spectroscopy shows the improved epitaxial quality of GaN thin film.
-
압전폴리머 PVDF(polyvinylidene difluoride)의 기판소재를 기반으로 한 디스플레이 소자를 연구하였다. 압전 폴리머 PVDF의 양면은 두께 300nm정도의 ITO(Indium Tin Oxide)를 TCO(Transparent conducting oxide)로 R2R(roll to roll)증착하였으며, 이를 적외선 계열 Pulsed Laser로 상온 건식 에칭을 통해 패턴해내고, 이후 고진공 환경에서 Alq3 를 기반의 유기발광소자를 제작하였다. 전기적 신호에 대해서 기계적인 작동이 가능한 투명 압전 폴리머 재료를 디스플레이 발광소자의 기판 소재로 사용함으로써, 궁극적으로 발광기능과 더불어 압전효과에 의한 스피커 기능이 한 개의 개체내에서 독립적으로 구현될 수 있도록 설계하고, 기술적으로 실현시켰다는 점이 본 연구의 의의라고 할 수 있다. 이를 위해서, 섭씨 80도 이상의 온도에서 압전 성질을 상실하는 것으로 알려진 PVDF에 대해서 투명산화전극을 레이져를 이용한 비가열식 승화방법을 통해 패턴화하는 것을 사용했으며, 밀리미터 단위에서 수십 마이크로미터 수준까지 패턴화할 수 있었다. 제작된 복합형 유연 OLED소자는 기계적으로 휘어진 상태에서도 발광 성능과 스피커 성능을 각각 독립적으로 보였으며, Alq3에 의한 녹색발광을 보임을 확인하였고, 이 경우 양자효율은 약 3%이하의 값을 보였다. 또한 각주파수별 음압(SPL: Sound Pressure Level)측정 결과는 압번폴리머가 가청주파수 영역에서 작동함을 보였으며, 고주파영역에서의 SPL값이 증가하는 전형적인 PVDF사용 필름 스피커의 특성을 보였다. 이로부터 제작된 복합형 소자는 본 연구에서 제안된 목적에서 보인 것과 같이, 두 개의 기능이 서로간의 간섭없이 독립적으로 한 개의 개체 내에서 작동함을 확인할 수 있었다. 본 연구 결과로부터 새로운 유연 전자 소자에 대한 디자인 개념을 제시하고, 기타 다른 기능이 접합된 형태의 신개념 전자 소자를 제안하는 것도 가능할 것으로 기대된다.
-
ZnO Oxide TFT with organic dielectric was prepared. ZnO thin film as active channel was prepared by plasma enhanced atomic deposition technique. Organic dielectric was spin coated on the gate metal. The structure of prepared TFT is bottom gate type and top contact structure. The characterization of oxide TFT was performed. We obtained the mobility of
$0.7cm^2$ /Vs, the threshold voltage of -14V, and the on-off ratio of$10^4$ . We also obtained good output characterization with solid saturation. -
An, Hui-Chul;Joo, Hyun-Woo;Na, Su-Hwan;Han, Wone-Keun;Kim, Tae-Wan;Lee, Won-Jea;Chung, Dong-Hoe 57
We have studied an organic layer and semitransparent Al electrode thickness dependent optical properties and microcavity effects for top-emission organic light-emitting diodes. Manufactured top-emission device structure is Al(100nm)/TPD(xnm)/Alq(ynm)/LiF(0.5nm)/Al(25nm). While a thickness of total organic layer was varied from 85nm to 165n, a ratio of those two layers was kept to be about 2:3. Semitransparent Al cathode was varied from 20nm to 30nm for the device with an organic layer total thickness of 140nm. As the thickness of total organic layer increases, the emission spectra show a shift of peak wavelength from 490nm to 580nm, and the full width at half maxima from 90nm to 35nm. The emission spectra show a blue shift as the view angle increases. Emission spectra depending on a transmittance of semitransparent cathode show a shift of peak wavelength from 515nm to 593nm. At this time, the full width at half maximum was about to be a constant of 50nm. With this kind of microcavity effect, we were able to control the emission spectra from the top-emission organic light-emitting diodes. -
GaN-based light-emitting diodes (LEDs) with ZnO nanorod arrays on a planar indium tin oxide (ITO) transparent electrode were demonstrated. ZnO nanorods were grown into aqueous solution at low temperature of
$90^{\circ}C$ . Under 20 mA current injection, the light output efficiency of the LED with ZnO nanorod arrays on ITO was remarkably increased by about 40 % of magnitude compared to the conventional LED with only planar ITO. The enhancement of light output by the ZnO nanorod arrays is due to the formation of side walls and a rough surface resulting in multiple photon scattering at the LED surface. -
Nanocomposites of metal (gold and silver) nanoparticles and multi-walled carbon nanotubes (MWNTs) were prepared with the assistance of various stabilizers for metals and MWNTs. Especially common surfactants such as poly(4-vinylpyridine) (PVP), sodium dodecyl sulfate (SDS), poly(sodium 4-styrene sulfonate) (PSS), and poly(diallyldimethylammonium) chloride (PDDA) were used for the sample preparation. Metal/MWNT nanocomposites were structurally characterized in by transmission electron microscopy (TEM), x-ray photoelectron spectroscopy (XPS), x-ray diffraction (XRD), UV/Vis spectroscopy. In addition, the electrical properties of the nanocomposites were studied by cyclic voltammetry (CV).
-
Photonic crystas were fabricated using an anodic aluminum oxide(AAO) mask on GaN diode. The Photonic crystal structure has been investigated from Atomic Force Microscope(AFM). The hole diameter and lattice constant of photonic crystal are 60nm and 105nm, respectively. Photoluminescence of photonic crystal was enhanced and optical interference was increased by photonic crystal effect.
-
연속공정이 가능한 Roll to Roll sputter system을 이용하여 플렉시블 indium tin oxide(ITO) 투명전극을 PET(polyethlyene terephthalate) 기판위에 성막하였다. 연속 성막공정을 위해 Roll to Roll sputter system에서의 unwinder roller와 rewinder roller를 이용한 servomotor의 rolling으로 기판의 움직임이 완벽히 제어되었으며, 외부 응력으로 부터의 안정성 및 성막 공정 시의 PET 기판의 열적 변형을 최소화하기 위한 접촉식 냉각방식의 cooling system을 main drum으로 사용하였다. 또한 고분자 기판과 투명전극 사이의 adhesion을 향상시키기 위한 전처리 공정으로 gridless linear ion beam source를 pretreatment system으로 구축하였다. 이렇게 제작된 Roll to Roll sputter system을 이용하여 PET 기판위에 연속공정을 통해 ITO 투명전극을 성막하였다. 성막된 플렉시블 ITO/PET 투명전극은 XRD, HREM, SEM 분석을 통하여 main drum의 cooling에 의해 완전한 비정질 구조를 나타내었음을 확인할 수 있었으며, 비록 Roll to Roll sputter system을 통하여 상온에서 성막 되었음에도 불구하고 최적화 된 조건에서 가시광선 영역 83.46 %의 높은 광투과도 값과 47.4 Ohm/square의 비교적 낮은 먼저항 값을 얻을 수 있었다. 또한 Bending test 결과를 통하여 ion source의 전처리 공정으로 굽힘/평의 반복적 응력에 따른 전기적 특성 열화를 최소화 할 수 있음을 보였다. 최적화된 플렉시블 투명전극을 이용하여 P3HT:PCBM 기반의 플렉시블 유기태양전지를 제작하였으며, 제작된 유기태양전지로부터 1.88%의 power conversion efficiency (PCE)을 확보함으로써 플렉시블 유기태양전지 제작을 위한 ITO/PET 투명전극 성막 공법으로써 Roll to Roll sputter system의 적용가능성을 확인할 수 있었다.
-
본 논문의 목표는 유기태양전지의 재료적 특성중 반사율을 측정하여 가장 투명한 oranic solar cell을 제조하기 위한 기초자료를 도출하였다. 먼저 유기태양전지 재료중 일부인 PEDOT,PSS,MEH-PPV,P3HT를 수십
$\AA$ ~ 수백$\AA$ 두께로 glass 기판위에 spin코팅하여 UV-VIS를 통해 파장열 반사 특성을 분석하였다. 또한, 동일한 시료를 사용하여 FESEM을 통한 표면 Morphology를 확인하였다. 최종적으로 가장 transparent 시료를 이용하여 oranic solar cell을 제작하여 efficiency와 reflectance characteristic를 측정하였다. -
Jeong, Jin-A;Choi, Kwang-Hyuk;Lee, Jae-Young;Lee, Jung-Hwan;Bae, Hyo-Dae;Tak, Yoon-Heung;Ye, Min-Su;Kim, Han-Ki 66
본 연구에서는 ITO/Ag/ITO 다층 박막을 유기발광소자와 플렉시블 광전소자의 전극으로 적용하기 위하여 선형 대항 타겟 스퍼터(Linear facing target sputter) 시스템을 이용하여 성막하였고, ITO/Ag/ITO 다층박막의 전기적, 광학적, 구조적 특성을 분석하였다. 선형 대항 타겟 스퍼터 시스템은 강한 일방항의 자계와 타겟에 걸린 음극에 의해 전자의 회전, 왕복 운동이 가능해 마주보는 두 ITO 타겟 사이에 고밀도의 플라즈마를 구속 시켜 플라즈마 데미지 없이 산화물 박막을 성막시킬 수 있는 장치이다. 대항 타겟 스퍼터 시스템을 이용하여 성막한 ITO 전극을 DC power, working pressure, Ar/O2 ratio 에 따른 특성을 각각 분석하였다. glass 기판위에 최적화된 ITO 전극을 bottom layer로 두고, bottom ITO layer 위에 thermal evaporation 을 이용하여 Ag 박막을 6~20nm의 조건에 따라 두께를 다르게 성막하고, Ag 박막을 성막한 후에 다시 bottom ITO 전극과 같은 조건으로 ITO 전극을 top layer로 성막 하였다. 두 비정질의 ITO 전극 사이에 매우 앓은 Ag 박막을 성막 함으로 해서 glass 기판위에 ITO/Ag/ITO 다층 박막전극은 매우 낮은 저항과 높은 투과도를 나타낸다. ITO/Ag/ITO 박막의 전기적 광학적 특성을 보기 위해 hall measurement와 UV/visible spectrometer 분석을 각각 진행하였다. ITO/Ag/ITO 다층 박막 전극이 매우 얇은 두께임에도 불구하고$4\Omega$ /sq.의 낮은 면저항과 85%의 높은 투과도를 나타내는 이유는 ITO/Ag/ITO 전극 사이에 있는 Ag층의 표면 플라즈몬 공명 (SPR) 현상으로 설명할 수 있다. ITO/Ag/ITO 전극의 Ag의 거동을 분석 하기위해 FESEM분석과 synchrotron x-ray scattering 분석을 하였다. ITO/Ag/ITO 전극의 Ag층이 islands의 모양에서 연속적으로 연결되는 변화과정 중에 SPR현상이 일어남을 알 수 있다. 여기서, 대항 타겟 스퍼터 시스템을 이용하여 성막한 ITO/Ag/ITO 다층박막을 OLED 또는 inverted OLEDs의 top 전극으로의 적용 가능성을 보이고 있다. -
Application of organic materials with low cost, easy fabrication and advantages of flexible device are increasing attention by research work. Recently, one of them, organic solar cells were rapidly increased efficiency with regioregular poly(3-hexylthiophene) (P3HT) and [6,6]-phenyl-C61-butyricacidmethylester (PCBM) used typical material. To increased efficiency of organic solar cell has tried control of domain of PCBM and crystallite of P3HT by thermal annealing and solvent vapor annealing. [4-6] In those annealing effects, be made inefficiently efficiency, which is increased fill factor (FF), and current density by phase-separated morphology with blended P3HT and PCBM. In addition, increased conductivity by modified hole transfer layer (HTL) such as Poly(3,4-ethylenedioxythiophene) poly(styrenesulfonate) (PEDOT:PSS), increased both optical and conducting effect by titanium oxide (TiOx), and changed cathode material for control work function were increased efficiency of Organic solar cell. In this study, we had described effect of organic photovoltaics by conductivity of interlayer such as PEDOT:PSS and TCO (Transparent conducting oxide) such as ITO, which is used P3HT and PCBM. And, we have measured with exactly defined shadow mask to study effect of solar cell efficiency according to conductivity of hole transfer layer.
-
Hong, Jong-Kuong;Jung, Tae-Hee;Ryu, Se-Hwan;Won, Chang-Sub;Kang, Gi-Hwan;Ahn, Hyung-Keun;Han, Deuk-Young 71
This paper describes a circuit based simulation model for a Photovoltaic(PV) cell in order to estimate the electrical behavior of the solar cell module with changes of environmental parameters such as shunt resistance, series resistance, temperature and irradiance. An accurate I-V model of PV module is presented based on the Shockley diode model. The general model was implemented on Matlab scrip file, and used irradiance and temperature as variables and outputs of the I-V characteristic. A typical PV module was used for the evaluation, and results was compared with reference taken directly from the manufacturer's published curves leading to excellent agrement with the theoretical prediction. -
In this work, the study on the
$SiO_2$ film non-uniformity by PECVD (Plasma Enhanced Chemical Vapor Deposition) was performed. Plasma diagnostics was analyzed by a DLP(Double Langmuir Probe) and a probe-type QMS(Quadrupole Mass Spectrometer) in order to investigate the spatial distribution of the plasma species in the chamber. The relationship between the plasma species and the depositing rate of the films was examined. On the basis of this work, it was confirmed that O radical density mainly contributed to the increase in the depositing rate of the$SiO_2$ films and the electron temperature in the plasma had a main effect on the formation of the oxygen radicals. -
TIGBT has some merits which are lower on-state voltage drop and smaller cell pitch, but also has a defect which is relatively lower breakdown voltage in comparison with planar IGBT. This lower breakdown voltage is due to the electric field which is concentrated on beneath the vertical gate. Therefore in this paper, new trench IGBT structure is proposed to improve breakdown voltage In the new proposed structure, a narrow oxide beneath the trench gate edge where the electric field is concentrated is extended into rectangular shape to decrease the electric field. As a result, breakdown voltage is improved to 23%.
-
Jung, Kang-Min;Kim, Su-Jin;Kim, Jae-Moo;Kim, Dong-Ho;Lee, Young-Soo;Choi, Hong-Goo;Hahn, Cheol-Koo;Kim, Tae-Geun 76
갈륨-질화물(GaN) 기반의 고속전자이동도 트랜지스터(high electron mobility transistor, HEMT)는 최근 마이크로파 또는 밀리미터파 등의 고주파 대역의 통신시스템에 널리 사용되는 전자소자이자, 차세대 고주파용 전력 소자로 각광받고 있다. AlGaN/GaN HEMT에서 AlGaN층과 GaN층의 이종접합 구조(heterostructure)는 두 물질 간의 큰 전도대의 불연속성으로 인해 발생하는 이차원 전자가스(two-dimensional electron gas, 2DEG) 채널을 이용하여 높은 전자이동도, 높은 항복전압 및 우수한 고출력 특성을 얻는 것이 가능하다. 그러나 이린 이론적인 우수한 특성에도 불구하고 실제 AlGaN/GaN HEMT 소자에서는 AlGaN 표면과 AlGaN과 GaN 층 사이 접합면, AlGaN과 GaN 벌크층에 존재하는 트랩의 영향으로 이론보다 낮은 DC 출력 특성을 갖는다. 본 논문에서는 표면, 접합면, 벌크 층에 존재하는 트랩들을 각각의 존재 유무에 따라 시뮬레이션 함으로써 각각의 트랩이 DC 특성에 미치는 영향에 대해서 알아본다. 또한 소스와 게이트, 드레인과 게이트간의 거리에 따라 표면 트랩에 따른 영향과 AlGaN층과 GaN 층의 두께를 변화시켜가면서 각 층의 두께에 따라 벌크 트랩이 DC 특성에 미치는 영향을 알아보았다. 본 논문에서 트랩에 따른 특성의 파악을 위해서$ATLAS^{TM}$ 를 이용하여 전산모사 하였다. -
ZnO thin films were deposited on glass substrates by pulsed laser deposition (PLD) at various oxygen pressures. We observed structural, electrical and optical properties of ZnO films. Structural properties were analysed by XRD and FE-SEM. Electrical properties for applications of transparent thin film transistors (TTFTs) were measured by hall measurement using van der pauw methods at room temperature. In order to apply in transparent devices, we measured transmittance, and optical bandgap energy was calculated by Tauc's equation. The results showed that ZnO films deposited at 200mTorr oxygen pressure were applicable to channel layers of transparent TFTs. It had high hall mobilities (
$52.92cm^2$ /V-s) and suitable transmittance at visible wavelength region (above 80%). -
We report the growth of
$Ba_{0.5}Sr_{0.5}TiO_3$ (BST) thin films and their substrate-dependent electrical characteristics. BST thin films were deposited on alumina(non-single crystal),$Al_2O_3$ (100) substrates by Nd:YAG Pulsed Laser Deposition(PLD) with a 355nm wavelength at substrate temperature of$700^{\circ}C$ and post-deposition annealing at$750^{\circ}C$ in flowing$O_2$ atmosphere for 1hours. BST materials had been chosen due to high dielectric permittivity and tunability for high frequency applications, To analyze the oxygen partial pressure effects, deposited films at 1, 10, 50, 100, 150, 200, 300 mTorr. The effects of oxygen pressure on structural properties of the deposited films have been investigated by X-ray diffraction(XRD) and atomic force microscope(AFM), respectively. Then we manufactured a inter-digital capacitor(IDC) patterns twenty fingers and$10{\mu}m$ gap,$700{\mu}m$ length and electrical properties were characterized. The results provide a basis for understanding the growth mechanisms and basic structural and electrical properties of BST thin films as required for tunable microwave devices applications such as varactors and tunable filters. -
Schottky barrier thin film transistors (SB-TFT) on polycrystalline silicon(poly-Si) are fabricated by platinum silicided source/drain for p-type SB-TFT. High quality poly-Si film were obtained by crystallizing the amorphous Si film with excimer laser annealing (ELA) or solid phase crystallization (SPC) method. The fabricated poly-Si SB-TFTs showed low leakage current level and a large on/off current ratio larger than
$10^5$ . Significant improvement of electrical characteristics were obtained by the additional forming gas annealing in 2%$H_2/N_2$ ambient, which is attributed to the termination of dangling bond at the poly-Si grain boundaries as well as the reduction of interface trap states at gate oxide/poly-Si channel. -
One-dimensional (1D) nanowires have been received much attention due to their potential for applications in various field. Recently some logic applications fabricated on various nanowires, such as ZnO, CdS, Si, are reported. These logic circuits, which consist of two- or three field effect transistors(FETs), are basic components of computation machine such as central process unit (CPU). FETs fabricated on nanowire generally have surrounded shapes of gate structure, which improve the device performance. Highly integrated circuits can also be achieved by fabricating on nano-scaled nanowires. But the numerical and SPICE simulation about the logic circuitry have never been reported and analyses of detailed parameters related to performance, such as channel doping, gate shapes, souce/drain contact and etc., were strongly needed. In our study, NAND and NOT logic circuits were simulated and characterized using 2- and 3-dimensional numerical simulation (SILVACO ATLAS) and built-in spice module(mixed mode).
-
Jo, Yeong-Deuk;Kim, Ji-Hong;Cho, Dae-Hyung;Moon, Byung-Moo;Koh, Jung-Hyuk;Ha, Jae-Geun;Koo, Sang-Mo 83
Metal-oxide-silicon-on-insulator (MOSOI) structures were fabricated to study the effect caused by reactive ion etching (RIE) and sacrificial oxidation process on silicon-on-insulator (SOI) layer. The MOSOI capacitors with an etch-damaged SOI layer were characterized by capacitance-voltage (C-V) measurements and compared to the sacrificial oxidation treated samples and the reference samples without etching treatment. The measured C-V curves were compared to the numerical results from 2-dimensional (2-D) simulations. The measurements revealed that the profile of C-V curves significantly changes depending on the SOI surface condition of the MOSOI capacitors. The shift in the measured C-V curves, due to the difference of the fixed oxide charge ($Q_f$ ), together with the numerical simulation analysis and atomic force microscopy (AFM) analysis, allowed extracting the fixed oxide charges ($Q_f$ ) in the structures as well as 2-D carrier distribution profiles. -
In this paper, differential cross coupled LC VCOs with two noise frequency filtering techniques are proposed. Both VCOs are based on symmetric capacitor with asymmetric inductor tank structure. The VCO using low pass filtering technique shows low phase noise of -130.40 dBc/Hz at 1 MHz offset when the center frequency is 1.619 GHz. And the other VCO using band pass filtering technique shows -127.93 dBc/Hz at 1 MHz offset frequency when center frequency is 1.604 GHz. Two noise frequency filtering techniques are approached with different target.
-
In this study, we studied the nature of thin films formed by photodoping chalcogenide materials with for use in programmable metallization cell devices, a type of ReRAM. We investigated the resistance of Ag-doped chalcogenide thin films varied in the applied voltage bias direction from about 1 M
$\Omega$ to several hundreds of$\Omega$ . As a result of these resistance change effects, it was found that these effects agreed with PMC-RAM. The results imply that a Ag-rich phase separates owing to the reaction of Ag with free atoms from the chalcogenide materials. -
Yu, Hyun-Kyu;Lee, Kyu-Il;Lee, Jong-Hwan;Kang, Hyun-Il;Lee, Tae-Yong;Kim, Eung-Kwon;Song, Joon-Tae 88
In this study, effect of thickness on structural, electrical and optical properties of B doped ZnO:Ga (GZOB) films was investigated. GZOB films were deposited on glass substrates by DC magnetron sputtering. The thickness range of films were from 100 nm to 600 nm to identified as increasing thickness, stress between substrate and GZOB film. The average transmittance of the films was over 80 % until 500 nm. Then a resistivity of$9.16\times10^{-4}\Omega$ -cm was obtained. We presented that a GZOB film of 400 nm was optimization to obtain a high transmittance and conductivity. -
본 연구에서는 PECVD(Plasma Enhanced CVD) 에서 사용하는 유해 가스인
$SiH_4$ 대신에 유기 사일렌 반응 물질인 TEOS(Tetraethyl Orthosilicate, Si$(OC_2H_5)_4)$ 를 이용하여 상압 화학 기상 증착법 (Atmospheric Pressure CVD, APCVD)으로 실리콘 산화막을 증착하고 박막의 조성과 특성 및 화학적, 전기적 특성들을 살펴보았다. TEOS 반응원료를 이용한 CVD 공정에서 공정 온도를 낮추기 위한 방법으로 강력한 산화제인 오존을 이용하여 공정온도를$400^{\circ}C$ 이하로 낮췄으며, 유리기판 상의 ELA(Excimer Laser Annealing)처리된 다결정 실리콘 기판에 트랜지스터 소자를 제작하고, 게이트 절연막으로의 전기적 특성을 살펴보았다. -
Gong, Bo-Hyeon;Han, Won-Seok;Kim, Yeong-Lee;Kim, Dong-Chan;An, Cheol-Hyeon;Seo, Dong-Gyu;Jo, Hyeong-Gyun;Mun, Jin-Yeong;Lee, Ho-Seong 91
ZnO는 넓은 밴드갭(3.37eV)과 큰 엑시톤(exciton) 결합에너지(60meV) 를 가지는 II-VI족 산합물 반도체로, 상온에서도 높은 재결합 효율이 기대되는 엑시톤 전이가 가능하여 자발적인 발광특성 및 레이저 발진을 위한 낮은 임계전압을 보여주는 장점을 가지고 있다. 이러한 특성을 이용해, 최근 ZnO 박막을 이용한 LED 및 LD 소자 제작에 대한 연구가 국내외적으로 매우 활발하게 이루어지고 있다. 하지만 아직까지 p-type ZnO는 전기적 특성 및 재현성 문제를 극복하지 못하고 있기 때문에 ZnO를 이용한 동종접합구조를 이용한 소자제작은 어려움이 따른다. 이런 문제점을 극복하기 위해 최근 p-type 물질을 ZnO와 결정구조 및 특성이 거의 유사한 GaN를 많이 이용하고 있다. 또한 RF 스퍼터링법을 이용해 박막을 성장할 경우 성장조건 및 불순물 도핑 등에 따라 성장되는 n-type ZnO의 전기적 특성 및 밴드갭을 조절할 수 있다. 본 연구에서는 RF 스퍼터링법을 이용해 p-type GaN 기판위에 n-type ZnO를 성장한 이종접합구조를 이용해 발광 다이오드를 제작하고 그에 대한 특성 평가를 하였다. 이때 성장시킨 n-type ZnO는 여러 가지 성장 변수 및 불순물 도핑으로 전기전 특성 변화 및 밴드갭 조절을 통해 발광특성 변화에 대해 특성 평가를 하였다. -
The surface flatness of heteroepitaxially grown 3C-SiC thin films is a key factor affecting electronic and mechanical device applications. This paper describes the surface flatness of polycrystalline 3C-SiC thin films by the gas flow control according to the location change of geometric structure. The polycrystalline 3C-SiC thin film was deposited by APCVD(Atmospheric pressure chemical vapor deposition) at
$1200^{\circ}C$ using HMDS(Hexamethyildisilane :$Si_2(CH_3)_6)$ as single precursor, and 5 slm Ar as the main flow gas. According to the location of geometric structure, surface fringes and flatness changed. It shows the distribution of thickness is formed uniformly in the specific location of the geometric structure. -
This paper presents the Raman scattering characteristics of poly (polycrystalline) 3C-SiC thin films deposited on AlN buffer layer by atmospheric pressure chemical vapor deposition (APCVD) using hexamethyldisilane (MHDS) and carrier gases (Ar +
$H_2$ ).The Raman spectra of SiC films deposited on AlN layer of before and after annealings were investigated according to the growth temperature of 3C-SiC. Two strong Raman peaks, which mean that poly 3C-SiC admixed with nanoparticle graphite, were measured in them. The biaxial stress of poly 3C-SiC/AlN was calculated as 896 MPa from the Raman shifts of 3C-SiC deposited at$1180^{\circ}C$ on AlN of after annealing. -
We have performed simulation for Junction Field Effect Transistor(JFET) using Silvco to improve its electrical properties. The device structure and process conditions of Si-control JFET(Si-JFET) were determined to set its cut off voltage and drain current(at Vg=0V) to -0.5V and
$300{\mu}A$ , respectively. From electrical property obtained at various implantation energy, dose, and drive-in conditions of p-gate doping, we found that the drive in time of p-type gate was the most determinant factor due to severe diffusion. Therefore we newly designed SiGe-JFET, in which SiGe layer is to epitaxial layers placed above and underneath of the Si-channel. The presence of SiGe layer lessen the p-type dopants (Boron) into the n-type Si channel the phenomenon would be able to enhance the structural consistency of p-n-p junction. The influence of SiGe layer will be discussed in conjunction with boron diffusion and corresponding I-V characteristics in comparison with Si-control JFET. -
본 연구에서는 SiGe p-MOSFET을 제작하여 I-V 특성과 게이트 길이,
$V_D$ ,$V_G$ 의 변화에 따른 저주파 노이즈특성을 측정하였다. Si 기판위에 성장한$Si_{0.88}Ge_{0.12}$ 으로 제작된 SiGe p-MOSFET의 채널은 게이트 산화막과 20nm 정도의 Si Spacer 층으로 분리되어 있다. 게이트 산화막은 열산화에 의해 70$\AA$ 으로 성장되었고, 게이트 폭은$25{\mu}m$ , 게이트와 소스/드레인 사이의 거리는 2.5때로 제작되었다. 제작된 SiGe p-MOSFET은 빠른 동작 특성, 선형성, 저주파 노이즈 특성이 우수하였다. 제작된 SiGe p-MOSFET의 ESD 에 대한 소자의 신뢰성과 내성을 연구하기 위하여 SiGe P-MOSFET에 ESD를 lkV에서 8kV까지 lkV 간격으로 가한 후, SiGe P-MOSFET의 I-V 특성과 게이트 길이,$V_D$ ,$V_G$ 의 변화에 따른 저주파 노이즈특성 변화를 분석 비교하였다. -
Single crystal
$AgGaSe_2$ layers were grown on thoroughly etched semi-insulating GaAs(100) substrate at 420$^{\circ}C$ with hot wall epitaxy (HWE) system by evaporating$AgGaSe_2$ source at 630$^{\circ}C$ . The crystalline structure of the single crystal thin films was investigated by the photoluminescence and double crystal X-ray diffraction (DCXD). The carrier density and mobility of single crystal$AgGaSe_2$ thin films measured with Hall effect by van def Pauw method are$9.24\times10^{16}cm^{-3}$ and 295$cm^2/V{\cdot}s$ at 293 K, respectively. -
To obtain the single crystal thin films,
$AgGaSe_2$ mixed crystal was deposited on thoroughly etched semi-insulating GaAs(100) substrate by the hot wall epitaxy (HWE) system. The source and substrate temperatures were$630^{\circ}C$ and$420^{\circ}C$ , respectively. The temperature dependence of the energy band gap of the$AgGaSe_2$ obtained from the absorption spectra was well described by the Varshni's relation,$E_g$ (T) 1.9501 eV - ($8.79\times10^{-4}$ eV/K)$T^2$ /(T + 250 K). After the as-grown$AgGaSe_2$ single crystal thin films was annealed in Ag-, Se-, and Ga-atmospheres, the origin of point defects of$AgGaSe_2$ single crystal thin films has been investigated by the photoluminescence(PL) at 10K. The native defects of$V_{Ag}$ ,$V_{Se}$ ,$Ag_{int}$ , and$Se_{int}$ obtained by PL measurements were classified as a donors or acceptors type. And we concluded that the heat-treatment in the Ag-atmosphere converted$AgGaSe_2$ single crystal thin films to an optical p-type. Also, we confirmed that Ga in$AgGaSe_2$ /GaAs did not form the native defects because Ga in$AgGaSe_2$ single crystal thin films existed in the form of stable bonds. -
To obtain the single crystal thin films,
$CuGaSe_2$ mixed crystal was deposited on thoroughly etched semi-insulating GaAs(100) substrate by the hot wall epitaxy (HWE) system. The source and substrate temperatures were$610^{\circ}C$ and$450^{\circ}C$ , respectively. After the as-grown$CuGaSe_2$ single crystal thin films was annealed in Cu-, Se-, and Ga-atmospheres, the origin of point defects of$CuGaSe_2$ single crystal thin films has been investigated by the photoluminescence(PL) at 10 K. The native defects of$V_{CU}$ ,$V_{Se}$ ,$Cu_{int}$ , and$Se_{int}$ obtained by PL measurements were classified as a donors or acceptors type. And we concluded that the heat-treatment in the Cu-atmosphere converted$CuGaSe_2$ single crystal thin films to an optical n-type. Also, we confirmed that Ga in$CuGaSe_2$ /GaAs did not form the native defects because Ga in$CuGaSe_2$ single crystal thin films existed in the form of stable bonds. -
Sapphire (
${\alpha}-Al_2O_3$ ) has been used as the substrate of opto-electronic device because of characteristics of thermal stability, comparatively low cost, large diameter, optical transparency and chemical compatibility. However, there is difficulty in the etching and patterning due to the physical stability of sapphire and the selectivity with sapphire and mask materials [1,2]. Therefore, sapphire has been studied on the various fields and need to be studied, continuously. In this study, the etching properties of sapphire substrate were investigated with various$CH_4$ /Ar gas combination, radio frequency (RF) power, DC-bias voltage and process pressure. The characteristics of the plasma were estimated for mechanism using optical emission spectroscopy (OES). The chemical compounds on the surface of sapphire substrate were investigated using energy dispersive X-ray (EDX). The chemical reaction on the surface of the etched sapphire substrate was observed by X-ray photoelectron spectroscopy (XPS). Scanning electron microscopy (SEM) was used to investigate the vertical and slope profiles. -
Kwon, Soon-Il;Park, Seung-Bum;Lee, Seok-Jin;Jung, Tae-Hwan;Yang, Kea-Jun;Park, Jea-Hwan;Choi, Won-Seok;Lim, Dong-Gun 103
In this paper we report upon an investigation into the effect of DC bias voltage on the electrical and optical properties of Gallium doped zinc oxide (GZO) film. GZO films were deposited on glass substrate without substrate temperature by RF magnetron sputtering from a ZnO target mixed with 5 wt%$Ga_2O_3$ . we investigated sample properties of bias voltage change in 0 to -60 V. We were able to achieve as low as$5.89\times10^{-4}$ ${\Omega}cm$ and transmittance over 87%. without substrate temperature. -
Park, Seung-Beum;Kwon, Soon-Il;Lee, Seok-Jin;Jung, Tae-Hwan;Yang, Kea-Joon;Lim, Dong-Gun;Park, Jae-Hwan;Song, Woo-Chang 105
Structural, optical and electrical properties of CdS films deposited by chemical bath deposition (CBD), which are a very attractive method for low-cost and large-area solar cells, are presented. Cadmium sulfide (CdS) is II-VI semiconductor with a wide band gap of approximately 2.42 eV. CdS films have a great application potential such as solar cell, optical detector and optoelectronics device. In this paper, effects of Rapid Thermal Process (RTP) on the properties of CdS films were investigated. The CdS films were prepared on a glass by chemical bath deposition (CBD) and subsequently annealed at standard temperature$(400^{\circ}C)$ and treatment time (10 min) in various atmospheres (air, vacuum and$N_2$ ). The CdS films treated RTP in$N_2$ for to min were showed larger grain size and higher carrier density than the other samples. -
We calculated orthorhombic-NiSi (010)/Si superstructure. Orthorhombic-NiSi was changed to tetragonal structure to be matched with Si substrate. Eight models were produced by the type of Si substrate. In the case of orthorhombic-NiSi (010)/Si (020)[00-1], it was the most favorable energetically and the shortest of the distance between two superstructures. However, in the case of orthorhombic-NiSi (010)/Si (010)[00-1], it was the most unfavorable energetically and the longest of the distance. The energy and distance of orthorhombic-NiSi (010)/Si superstructure were changed by the coordination number of Ni atom and the bond length of atom-atom at the interface.
-
We have performed a density functional theory study to investigate the reaction of the
$HfCl_4$ molecule on$H_2O$ terminated Si (001)-$(2\times1)$ surface. The reaction of the$HfCl_4$ molecule is more favorable on OH-terminated site than H-terminated site. The first$HfCl_4$ molecule is adsorbed on a OH-terminated site with 0.21 eV energy benefit. The second$HfCl_4$ molecule is adsorbed on the most adjacent OH-terminated site of the first molecule and the energy benefit is 0.28 eV. The third and forth molecules have same tendency with the first and second ones. The adsorption energies of the fifth and sixth$HfCl_4$ molecules are 0.01 eV, -0.06 eV respectively. Therefore, we find that the saturation Hf coverage is approximately 5/8 of the available hydroxyl site, which is$2.08\times10^{14}/cm^2$ . Our model is well matched with an experimental study by reference. -
태양전지는 태양에너지를 직접 전기에너지로 변환시켜주는 광전 소자로서 구조적으로 단순하고 제조 공정도 비교적 간단하지만, 실용화를 위해서는 비용적인 측면이 많은 걸림돌이 되고 있다. 기존의 실리콘 태양전지는 낮은 광흡수율, 고비용임에도 불구하고 가장 많이 활용되고 있는 태양전지 기술이다. 그러나 태양전지의 경제성 향상과 실용화를 위해서는 기존의 실리콘 태양전지 보다 고효율 및 고신뢰도의 박막형 태양전지의 개발이 필요하다. 박막헝 태양전지의 재료로는 비정질 실리콘, 다결정 실리콘. CIGS, CdTe 등이 있다. 그 중에서도 박막형 태양전지에 광흡수층 물질로는 밴드갭 에너지 (l.4eV 부근), 변환 효율, 경제성 등을 고려했을 때 II-VI족 화합물인 CdTe가 가장 적합한 것으로 각광받고 있다. 하지만 아직까지 실리콘 태양전지에 비해 효율이 많이 떨어지는 단점을 가지고 있기 때문에 효율을 더 끌어올리기 위한 연구가 활발히 진행되고 있는 실정이다. 또한 CMP(chemical mechanical polishing) 공정은 반도체 박막 분야뿐만 아니라 물리, 화학 반응의 기초 연구에도 널리 응용이 되는 기술로써, 시료와 연마 패드 사이의 회전마찰에 의한 기계적 연마와 연마제 (abrasive) 에 의한 화학적 에칭으로 박막 표면을 평탄화하는 기술이다. 본 연구에서는 sputtering 법에 의해 증착된 CdTe 박막에 CMP 공정을 적용하여 표면 특성을 개선한 뒤 태양전지 변환 효율과 직접적인 연관성을 가지고 있는 표면 및 광특성의 변화를 CMP 공정 전과 후로 비교하였다. 표면의 변화를 관찰하기 위해서 AFM(atomic forced microscope) 과 SEM(scanning electron microscopy) 을 이용하였으며, 광특성의 비교를 위해서 흡수율과 PL특성을 측정하였다.
-
박막형 태양전지에 관한 연구는 1954년 D.C. Reynolds 가 단결정 CdS 에서 광기전력을 발견하면서부터 시작되었다. 고효율 단결정 규소 태양전지가 간편하게 제작되고 박막형 태양전지의 수명문제가 대두되어 한때는 연구가 중단되어지기도 하였으나, 에너지 문제가 심각해지면서 값이 저렴하고 넓은 면적에 쉽게 실용화 할 수 있는 박막형 태양전지에 많은 관심을 가지게 되었다. 박막형 태양전지에 사용되는 CdS는 II-VI 족 화합물 반도체로서 에너지금지대폭이 2.42eV인 직접천이형 n-type 반도체로서 대부분의 태양광을 통과시킬 수 있으며 가시광선을 잘 투과시키고 낮은 비저항으로서 광흡수층인 CdTe/
$CuInSe_2$ 등과 같이 태양전지의 광투과층(윈도레이어)으로 널리 사용되고 있다. 이러한 이종접합 박막형 태양전지의 효율을 높이기 위해선 윈도레이어 재료인 CdS 박막의 낮은 전기 비저항치와 높은 광 투과도 값이 요구되어지고 있다. CdS 박막의 제작방법으로는 spray pyrolysis법, 스크린프린팅, 소결법, puttering법, 전착법, CBD(chemical bath deposition)법 및 진공증착법 등의 여러 가지 방법들이 보고되었다. 이 중 sputtering의 경우, 다른 방법들에서는 얻기 어려운 매우 얇은 두께의 박막 증착이 가능하며, 균일성 또한 우수하다. 또한 대면적화가 용이하여 양산화 기술로는 다른 제조 방법들에 비해 많은 장점을 가지고 있다. 따라서 본 연구에서는 sputtering에 의해 증착한 CdS의 박막에 광투과도 등의 향상을 위하여 CMP( chemical mechanical polishing) 공정을 적용하여 표면 특성을 개선하고자 하였다. 그 기초적인 자료로서 CdS 박막의 CMP 공정 조건에 따른 연마율과 비균일도, 표면 특성 등을 ellipsometer, AFM(atomic force microscopy) 및 SEM(scanning electron microscope) 등을 활용 하여 분석하였다. -
Conventional furnace annealing (CFA) for activating Mg-doped p-type GaN films had been performed in pure
$N_2$ ambient. All sample activated the same gas ambient. The annealing process change temperature: the first process is performed at$550^{\circ}C$ for 10 min. but, the first process is the same bulk. From second to five process increase activation temperature to change$50^{\circ}C$ and annealing time keeping for 10 min. It is found that the samples characteristic measure hall measurement. Similar results were also evidenced by photoluminescence (PL) measurement. -
The ferroelectric vinylidene fluoride-trifluoroethylene (
$VF_2$ -TrFE) and$Al_2O_3$ passivation layer for the Metal/Insulator/Ferroelectric/Semiconductor (MIFS) structure were deposited using spin coating and remote plasma atomic layer deposition (RPALD), respectively. A 2.5 ~ 3 wt % diluted solution of purified vinylidene fluoride-trifluoroethylene ($VF_2$ : TrFE=70:30) in a DMF solution were prepared and deposited on silicon wafer at a optimized spin speed. After annealing in a vacuum ambient at 150 ~$200^{\circ}C$ for 60 min, upper insulator layer were deposited at temperature ranging from 100 ~$150^{\circ}C$ by RPALD. We described electrical and structural properties of MIFS fabricated by spin coating and RPALD methods. -
High-pressure deuterium annealing process is proposed and investigated for enhanced electrical and reliability properties of 512Mb DDR2 DRAM without increase in process complexity. High pressure deuterium annealing (HPDA) introduced during post metal anneal (PMA) improves not only DRAM performance but also reliability characteristics of MOSFET. Compared with a control sample annealed in a conventional forming gas, additional annealing in a high pressure deuterium ambient at
$400^{\circ}C$ for 30 min decreased G1DL current and junction leakage. The improvements can be explained by deuterium incorporation at$SiO_2$ /Si substrate interface near isolation trench edge. -
The electrical characteristics of Metal-Ferroelectric-Nitride-Oxide-Silicon (MFNOS) structure is studied and compared to the conventional Silicon-Oixde-Nitride-Oxide-Silicon (SONOS) capacitor. The ferroelectric blocking layer is SrBiNbO (SBN with Sr/Bi ratio 1-x/2+x) with the thickness of 200 nm and is fabricated by the RF sputter. The memory windows of MFNOS and SONOS capacitors with sweep voltage from +10 V to -10 V are 6.9 V and 5.9 V, respectively. The effect of ferroelectric blocking layer and charge trapping on the memory window was discussed. The retention of MFNOS capacitor also shows the 10-years and longer retention time than that of the SONOS capacitor. The better retention properties of the MFNOS capacitor may be attributed to the charge holding effect by the polarization of ferroelectric layer.
-
Kim, Ji-Hong;Cho, Dae-Hyung;Moon, Byung-Moo;Bahng, Wook;Kim, Sang-Cheol;Kim, Nam-Kyun;Koo, Sang-Mo 120
We demonstrate epitaxial growth of ZnO thin films on 4H-SiC(0001) substrates using pulsed laser deposition (PLD). ZnO and SiC have attracted attention for their special material properties as wide band gap semiconductors. Especially, ZnO could be applied to optoelectronic applications such as light emitting devices and photo detectors due to its direct wide bandgap (Eg) of ~3.37eV and large exciton binding energy of ~60meV. SiC shows a good lattice matching to ZnO compared with other commonly used substrates and in this regard SiC is a good candidate as a substrate for ZnO. In this work, ZnO thin films were grown on 4H-SiC(0001) substrates by PLD using an Nd:YAG laser with a 355nm wavelength. The crystalline properties of the films were evaluated by x-ray diffraction (XRD)$\theta-2\theta$ , rocking curve and pole figure measurements using a high-resolution diffractometer. The surface morphology of the films was studied by atomic force microscopy (AFM). -
We propose a novel nanomaterial-based pn diode which constructed with an n-type ZnO nanowire (NW) and a p-type HgTe nanoparticle (NP) thin film. The photo current characteristics of a ZnO NW, a HgTe NP thin film and pn diode constructed with a ZnO NW and a HgTe NP thin film were investigated under illumination of the 325 nm and 633 nm wavelength light. The conductivities of a ZnO NW exposed to the 325 nm and 633 nm wavelength light increased, while the photocurrents taken from the HgTe NP thin film was very close to the dark currents. Moreover, The pn diode exhibited the rectifying characteristics of the dark current and of the photocurrent excited by the 633 nm wavelength light. In contrast, the ohmic characteristics for the photocurrent were observed due to the junction barrier lowering in the conduction band of the ZnO nanowire under the illumination of the 325 nm wavelength light.
-
Recently, Metal/Alumina/Silicon-Nitride/Silicon-Oxide/Silicon (MANOS) structures are one of the most attractive candidates to realize vertical scaling of high-density NAND flash memory [1]. However, as ANO layers are miniaturized, negative and positive bias temperature instability (NBTI/PBTI), such as the flat band voltage shift,
${\Delta}V_{FB}$ , the interfacial trap density increase,${\Delta}D_{it}$ , the gate leakage current,${\Delta}I_G$ . and the retention characteristics, in MONOS capacitors, becomes an important issue in terms of reliability. It is well known that tunnel oxide degradation is a result of the oxide and interfacial traps generation during FN (Fowler-Nordheim) stress [2]. Because the bias temperature stress causes an increase of both interfacial-traps and fixed oxide charge could be a factor, witch can degrade device reliability during the program and erase operation. However, few studies on NBTI/PBTI have been conducted on improving the reliability of MONOS devices. In this work, we investigate the effect of post-annealing gas on bias temperature instability (BTI), such as the flat band voltage shift,${\Delta}V_{FB}$ , the interfacial trap density shift,${\Delta}I_G$ retention characteristics, and the gate leakage current characteristics of MANOS capacitors. MANOS samples annealed at$950^{\circ}C$ for 30 s by a rapid thermal process were treated via additional annealing in a furnace, using annealing gases$N_2$ and$N_2-H_2$ (2 % hydrogen and 98 % nitrogen mixture gases) at$450^{\circ}C$ for 30 min. MANOS samples annealed in$N_2-H_2$ ambient had the lowest flat band voltage shift,${\Delta}V_{FB}$ = 1.09/0.63 V at the program/erase state, and the good retention characteristics, 123/84 mV/decade at the program/erase state more than the sample annealed at$N_2$ ambient. -
In this work, amorphous carbon thin films were deposited for hard mask applications by a reactive particle beam (RPB) assisted sputtering system at room temperature. The depositing characteristics of the films were investigated as functions of operating parameters such as reflector bias voltage and RF plasma power. It was confirmed that the deposition rate increased with increasing the reflector bias voltage and RF plasma power. By an atomic force microscope (AFM), it was revealed that the surface roughness was also increased. The total stress in films was determined by the use of the substrate curvature and its result will be discussed.
-
Jung, Myung-Ho;Kim, Kwan-Su;Park, Goon-Ho;Kim, Min-Soo;Jung, Jong-Wan;Jung, Hong-Bae;Cho, Won-Ju 124
The charge trapping and tunnelling characteristics with various thickness of$Si_3N_4$ layer were investigated for application of TBE (Tunnel Barrier Engineered) non-volatile memory. We confirmed that the critical thickness of no charge trapping was existed with decreasing$Si_3N_4$ thickness. Also, the charge trap centroid x and charge trap density were extracted by using CCS (Constant Current Stress) method. Through the optimized thickness of$Si_3N_4$ layer, it can be improve the performance of non-volatile memory. -
The effects annealing conditions on the electrical conductions of SOI substrate were studied. The reversible change of resistance and carrier concentration in accordance with the annealing temperature were observed for the first time in SOI substrate. The thermal donors due to interstitial oxygen atoms contribute the change of resistance and carrier concentration. Final1y, we show that the furnace annelaing at
$500^{\circ}C$ at final heat treatment stage is effective for eliminate the thermal donor effects in SOI substrate. -
Kim, Min-Soo;Jung, Myung-Ho;Kim, Kwan-Su;Park, Goon-Ho;Jung, Jong-Wan;Chung, Hong-Bay;Cho, Won-Ju 128
The annealing effects of$SiO_2/Si_3N_4$ stacked tunneling dielectrics were investigated. I-V characteristics of band gap engineered tunneling gate stacks consisted of$Si_3N_4/SiO_2/Si_3N_4$ (NON),$SiO_2/Si_3N_4/SiO_2$ (ONO) dielectrics were evaluated and compared with$SiO_2$ single layer using the MOS(Metal-Oxide-Semiconductor) capacitor structure. The leakage currents of engineered tunneling barriers (ONO, NON stacks) are lower than that of the conventional$SiO_2$ single layer at low electrical field. Meanwhile, the engineered tunneling barriers have larger tunneling current at high electrical field and improved electrical characteristics by annealing processes than$SiO_2$ layer. -
Chalcogenide glass has been known for many photo induced phenomena and superial electron / optical specific by structure flexibility, unique electronic configuration. It is become known to the greatest specific as photonic material medium that possible to perfect controlling by continuity and photo inducing direction of amorphous chalcogenide. In our experiment, we choose the amorphous As-Ge-Se-S and coming glass as a substrate. And then we have evaporated in the
${\sim}2{\times}10^{-6}$ Torr using a E-beam evaporator, completed thin film sample that have 1um thickness of As-Ge-Se-S 600$\AA$ , 10~5$\AA$ /s. At first, we let the change the angle between laser and sample by holography litho method and then, expect that satisfied conclusion which 2-dimension diffraction lattice manufacture and specifics by investing a He-Ne laser for 2000 seconds. -
Lee, Jong-Hwan;Lee, Kyu-Il;Yu, Hyun-Kyu;Lee, Tae-Yong;Kang, Hyun-Il;Kim, Eung-Kwon;Song, Joon-Tae 132
Boron doped ZnO:Ga(GZOB) thin films were prepared on glass substrates by DC magnetron sputtering. Influence of the annealing treatment on the electrical and optical properties of GZOB thin films were investigated. The west resistivity of$9.6\times10^{-4}\Omega$ -cm was obtained at an annealing temperature of$400^{\circ}C$ . The average transmittance of the films is over 80% in the visible range. It was also shown that by introducing boron impurity into GZO system improve the uniformity, the resistivity, and thermal stability of ZnO-based conducting thin films. -
이 논문에서는
$SiN_x$ 의 band gap 차이를 이용하여 MIS 구조의 메모리 소자를 제작하고 이를 분석하였다.$SiN_x$ 박막은 증착 가스비에 따라 다양한 band gap을 가지게 된다. 본 실험에서는 n-type 단결정 실리콘 기판위에$SiH_4/NH_3$ 가스를 혼합하여$SiN_x$ 박막을 증착하고, UV-Vis Spectrophotometer 장비를 이용하여 band gap을 구하였다. 큰 band gap을 갖는$SiN_x$ 박막을 블로킹 층에, 작은 band gap을 작는$SiN_x$ 박막을 전하 저장 층에 사용하였다. 제작된 NNO 구조일 소자는 7.6 V의 hysteresis roof 폭과 1000초 후에 88.6 %의 retention 값을 갖는 우수한 메모리 특성을 보였다. -
이 실험에서는 비휘발성 메모리에서의 블로킹 층으로
$SiN_x$ 박막을 사용하였다. ELA (poly-Si) 기판위에$SiO_xN_y$ 박막을 성장하기 전에 BHF를 이용해 자연 산화막을 제거하였다. 터널 층을 위해 2.7nm두께의$SiO_xN_y$ 를 ICP-CVD 장비를 이용해 유리기판위에 증착하였다. 다음으로$SiH_4/H_2$ 기체를 이용, ICP-CVD장비를 이용해 전하 저장을 위한 a-Si 박막을 증착하고, 마지막으로 a-Si층 위에$SiN_x$ 층을 형성하였다.$SiN_x$ 박막을 형성하는데 최적의 조건을 찾기 위해 가스의 구성 비율 및 증착시간을 변화시키고 온도와 RF power도 바꿔주었다. 굴절률이 1.79 고 두께가 30 nm 인$SiN_x$ 는 블로킹 층으로 사용하기 위한 것이다. 제작된 NSO-NVM 소자의 전기적 메모리 특성은 on current가 약$10^{-5}$ A 이고 off current가 약$5\times10^{-13}$ A로 전류 점멸비$(I_{ON}/I_{OFF})$ 는 약$1\times10^7$ 이고 Swing 값은 0.53V/decade 이다. 1ms 동안의 programming/erasing 결과 약 3.5 V의 넓은 메모리 윈도우 크기를 가진다는 것을 확인할 수 있다. -
In-situ doped polycrystalline 3C-SiC thin films were deposited by APCVD at
$1200^{\circ}C$ using HMDS(hexamethyildisilane:$Si_2(CH_3)_6)$ ) as Si and C precursor, and 0 ~ 100 sccm$N_2$ as the dopant source gas. The peak of SiC is appeared in polycrystalline 3C-SiC thin films grown on$SiO_2$ /Si substrates in XRD(X-ray diffraction) and FT-IR(Fourier transform infrared spectroscopy) analyses. The resistivity of polycrystalline 3C-SiC thin films decreased from 8.35$\Omega{\cdot}cm$ with$N_2$ of 0 sccm to 0.014$\Omega{\cdot}cm$ with 100 sccm. The carrier concentration of poly 3C-SiC films increased with doping from$3.0819\times10^{17}$ to$2.2994\times10^{19}cm^{-3}$ and their electronic mobilities increased from 2.433 to 29.299$cm^2/V{\cdot}S$ , respectively. -
Ti capping layer를 이용하여 NiGe의 열적 안정성을 향상시키는 연구를 수행하였다. N-type Ge(100) 기판에 30nm 두께의 Ni과 30nm 두께의 Ti capping layer를 E-beam evaporator를 이용하여 증착하고
$300^{\circ}C$ 에서$700^{\circ}C$ 까지 30초간$N_2$ 분위기에서 급속 열처리하여 Ni-Germanide를 형성하였다. XRD의 결과로부터 Ti capping layer 유무에 상관없이, 전 온도 범위에 걸쳐 NiGe 상이 형성된 것을 관찰할 수 있었다. 급속 열처리 온도에 따른 면저항 값을 측정한 경우,$300^{\circ}C$ 에서$600^{\circ}C$ 까지의 열처리 온도 범위에서는 모든 시편들이 비슷한 면저항 값을 보인 반면, 열처리 온도가$700^{\circ}C$ 이상에서는 Ti capping layer가 있는 시편이 Ti capping layer가 없는 시편보다 낮은 면저항 값을 갖는 것을 확인할 수 있었다. 이는 고온 열처리 시 Ti capping layer에 있는 Ti가 기판 방향으로 확산하여 NiGe grain boundary에 segregation 되고 그로 인하여 NiGe의 grain boundary 움직임을 억제하여 agglomeration 현상을 효과적으로 방지하였기 때문에 나타난 현상으로 사료된다. -
In this study, the photocurrent (PC) spectroscopy of undoped p-type CIS layers has been investigated at temperatures ranging from 10 to 293 K. Three peaks, A, B, and C, corresponded to the intrinsic transition from the valence band states off
$\Gamma_7$ (A),$\Gamma_6$ (B), and$\Gamma_7$ (C) to the conduction band state$\Gamma_6$ , respectively. The crystal field splitting and the spin orbit splitting were found at 0.0059 and 0.2301 eV, respectively, and the temperature dependence of the optical band gap could be expressed by using the empirical equation$E_g$ (T) =$E_g$ (0) -$(8.57\times10^{-4)T^2$ /(T + 129). But the behavior of the PC was different from that generally observed in other semiconductors: the PC intensities decreased with decreasing temperature. From the relation of log$J_{ph}$ vs 1/T, where$J_{ph}$ is the PC density, the dominant level was observed at the higher temperatures. We suggest that in undoped p-type CIS layers, the trapping center limits the PC signal due to native defects and impurities with decreasing temperature. -
Single crystal
$ZnIn_2S_4$ layers were grown on thoroughly etched semi-insulating GaAs(100) substrate at$450^{\circ}C$ with hot wall epitaxy (HWE) system by evaporating$ZnIn_2S_4$ source at$610^{\circ}C$ . The temperature dependence of the energy band gap of the$ZnIn_2S_4$ obtained from the absorption spectra was well described by the Varshni's relation,$E_g$ (T) = 2.9514eV - ($7.24\times10^{-4}$ eV/K)$T^2$ /(T + 489 K). After the as-grown$ZnIn_2S_4$ single crystal thin films was annealed in Zn-, S-, and In-atmospheres, the origin of point defects of$ZnIn_2S_4$ single crystal thin films has been investigated by the photoluminescence(PL) at 10 K. The native defects of$V_{Zn}$ ,$V_s$ ,$Zn_{int}$ , and$S_{int}$ , obtained by PL measurements were classified as a donors or acceptors type. And we concluded that the heat-treatment in the S-atmosphere converted$ZnIn_2S_4$ single crystal thin films to an optical p-type. Also, we confirmed that In in$ZnIn_2S_4$ /GaAs did not form the native defects because In in$ZnIn_2S_4$ single crystal thin films existed in the form of stable bonds. -
Single crystal
$ZnIn_2S_4$ layers were grown on a thoroughly etched semi-insulating GaAs(100) substrate at$450^{\circ}C$ with the hot wall epitaxy (HWE) system by evaporating the polycrystal source of$ZnIn_2S_4$ at$610^{\circ}C$ prepared from horizontal electric furnace. The crystalline structure of the single crystal thin films was investigated by the photoluminescence and double crystal X-ray diffraction (DCXD). The carrier density and mobility of single crystal$ZnIn_2S_4$ thin films measured with Hall effect by van der Pauw method are$8.51\times10^{17}$ electron/$cm^{-3}$ , 291$cm^2$ /v-s at 293 K, respectively. -
High-k materials have been paid much more attention for their characteristics with high permittivity to reduce the leakage current through the scaled gate oxide. Among the high-k materials,
$ZrO_2$ is one of the most attractive ones combing such favorable properties as a high dielectric constant (k= 20 ~ 25), wide band gap (5 ~ 7 eV) as well as a close thermal expansion coefficient with Si that results in good thermal stability of the$ZrO_2$ /Si structure. During the etching process, plasma etching has been widely used to define fine-line patterns, selectively remove materials over topography, planarize surfaces, and trip photoresist. About the high-k materials etching, the relation between the etch characteristics of high-k dielectric materials and plasma properties is required to be studied more to match standard processing procedure with low damaged removal process. Among several etching techniques, we chose the inductively coupled plasma (ICP) for high-density plasma, easy control of ion energy and flux, low ownership and simple structure. And the$BCl_3$ was included in the gas due to the effective extraction of oxygen in the form of$BCl_xO_y$ compounds. During the etching process, the wafer surface temperature is an important parameter, until now, there is less study on temperature parameter. In this study, the etch mechanism of$ZrO_2$ thin film was investigated in function of$Cl_2$ addition to$BCl_3$ /Ar gas mixture ratio, RF power and DC-bias power based on substrate temperature increased from$10^{\circ}C$ to$80^{\circ}C$ . The variations of relative volume densities for the particles were measured with optical emission spectroscopy (OES). The surface imagination was measured by scanning emission spectroscope (SEM). The chemical state of film was investigated using energy dispersive X-ray (EDX). -
Lee, Seok-Jin;Kwon, Soon-Il;Park, Seung-Beum;Jung, Tae-Hwan;Lim, Dong-Gun;Park, Jea-Hwan;Yang, Kea-Joon 146
In this paper we report upon an investigation into the effect of sputter RF power on the electrical properties of Gallium doped zinc oxide (ZnO:Ga) film. Structural, electrical and optical properties of the ZnO:Ga films were investigation in terms of the sputtering power. Working pressure fixed in 5 mtorr and RF powers the variable did with 50~100 W. The result, We were able to without substrate temperature obtain resistivity of$9.3\times10^{-4}{\Omega}cm$ and optical transmittance of 90%. -
Low-k dielectric materials are an alternative plan to improve the signal propagation delay, crosstalk, dynamic power consumption due to resistance and parasitic capacitance generated the decrease of device size. Now, various materials is studied for the next generation. Diethoxymethlysiliane (DEMS) precursor using this study has two ethoxy groups along with one methyl group attached to the silicon atoms. SiCOH thin films were deposited on p-type Si(100) substrate by Plasma Enhanced Chemical Vapor Deposition (PECVD) using DEMS. In this study, we studied the effect of oxygen(
$O_2$ ) flow rate for DEMS to characteristics of thin films. The characteristics of thin films deposited using DEMS and$O_2$ evaluated through refractive index, dielectric constant(k), surface roughness, I-V(MIM:Al / SiCOH / Ag), C-V(MIM), deposition rate. -
Metal-Insulator-Metal(MIM) capacitors have been studied extensively for next generation of high-density dynamic random access memory (DRAM) devices. Of several candidates for metal electrodes, Ru or its conducting oxide
$RuO_2$ is the most promising material due to process maturity, feasibility, and reliability. ALD can be used to form the Ru and RuO2 electrode because of its inherent ability to achieve high level of conformality and step coverage. Moreover, it enables precise control of film thickness at atomic dimensions as a result of self-limited surface reactions. Recently, ALD processes for Ru and$RuO_2$ , including plasma-enhanced ALD, have been studied for various semiconductor applications, such as gate metal electrodes, Cu interconnections, and capacitor electrodes. We investigated Ru/$RuO_2$ thin films by thermal ALD with various deposition parameters such as deposition temperature, oxygen flow rate, and source pulse time. Ru and$RuO_2$ thin films were grown by ALD(Lucida D150, NCD Co.) using RuDi as precursor and O2 gas as a reactant at$200\sim350^{\circ}C$ . -
본 연구에서는 Wet chemistry damage가 Nanopatterned p-ohmic electrode에 미치는 영향을 연구하였다. Nanopattern은 Metal clustering을 이용하여, P-GaN와 Ohmic형성에 유리한 Pd을 50
$\AA$ 적층한 후 Rapid Thermal Annealing방법으로$850^{\circ}C$ ,$N_2$ 분위기에서 3min열처리를 하여 Pd Clustering mask 를 제작하였다. Wet etching은$85^{\circ}C$ ,$H_3PO_4$ 조건에서 시간에 따라 Sample을 Dipping하는 방법으로 시행하였다 Ohmic test를 위해서 Circular - Transmission line Model 방법을 이용하였으며, Atomic Force Microscopy과 Parameter Analyzer로 Nanopatterned GaN surface위에 형성된 Ni/ Au Contact에서의 전기적 분석과, 표면구조분석을 시행하였다. AFM결과 Wet처리시간에 따라서 Etching형상 및 Etch rate이 영향을 받는 것이 확인되었고, Ohmic test에서 Wet chemistry처리에 의한 Tunneling parameter와 Schottky Barrier Height가 크게 증/감함을 관찰하였다. 이러한 결과들은 Wet처리에 의해서 발생된 Defect가 GaN의 표면과 하부에서 발생되며, Deep acceptor trap 및 transfer거동과 밀접한 관련이 있음을 확인 할 수 있었다. 보다 자세한 Transport 및 Wet chemical처리영향에 관한 형성 Mechanism은 후에 I-V-T, I-V, C-V, AFM결과 들을 활용하여 발표할 예정이다. -
바이폴러 트랜지스터(이하 BJT)의 고온 콜렉터-베이스 역전압 수명시험을 실시하였고, 수영시험 전후의 특성평가를 통해 BJT의 고장모드를 분석하였다. 시험조건은 주위온도
$150^{\circ}C$ 에서 콜렉터-베이스 정격 역전압의 80%를 인가한 상태에서 실시하였으며, 시료수는 57개이고 최종 목표 시험시간은 2,000시간이다. 중간측정을 통해 BJT의 특성열화를 관찰하였으며, 1,500시간 경과 후 1개 시료에서 제품규격을 벗어나는 데이터가 측정되었다. 해당 시료를 분석한 결과 콜렉터-베이스 누설전류 및 전류이득($\beta$ )이 증가하였고, 저주파에서의 junction capacitance 가 정상품 대비 크게 관찰되었다. 측정결과를 통해 누설전류 증가 및 이득이 증가한 원인을 추정하였다. -
Micro probe with Ni-Co tip was designed. Unit processes for fabricating the micro probe were developed. We are investigated the micro probe tip using by Ni-Co alloy. One-step and three-step needle was fabricated by plating process, CMP, and photolithography process. The plating thickness was varied by current density and time. Futher data will be extract by different process conditions.
-
최근 투명전극물질이 LCD, 박막태양전지, smart window, 유기발광소자 등에 폭넓게 이용됨에 따라 그 수요가 급격이 늘어나고 있다. 이러한 투명전극 물질로는 Al : ZnO, Ga : ZnO,
$MgIn_2O_4$ ,$AgSbO_3$ ,$InGaZnO_4$ , ITO, Zn:ITO 등이 있으며 이중 ITO 계 산화물은 우수한 전기적 특성을 바탕으로 이미 상용화 되어있는 상태이다. 그러나 ITO 계 산화물은 indium 의 희소성과 높은 가격 때문에 폭 넓은 분야의 상용화가 어려운 실정이며, 수소 플라즈마 분위기에 화학적으로 불안정한 특성은 Si 박막태양전지 응용에 큰 문제가 되고 있다. 이에 본 연구는 박막태양전지용 ITO 계 투명전극의 indium양을 줄이면서 화학적으로 안정하고, 전기적 특성이 향상된 박막을 제조하기 위해 combinatorial sputter를 이용하여 Zn의 도핑량을 연속적으로 변화시킨 ITO 박막을 제조하였다. 또한 광학적 전기적 특성의 향상을 위해 vacuum,$H_2$ ,$O_2$ 분위기에서 열처리 후 각 박막의 특성 변화를 관찰하였다. -
Kim, Sang-Gi;Park, Kun-Sik;Kim, Young-Goo;Koo, Jin-Gun;Park, Hoon-Soo;Woo, Jong-Chang;Yoo, Sung-Wook;Kim, Bo-Woo;Kang, Jin-Young 154
대전류용 전력소자를 제조하기 위해 고밀도 트렌치를 형성하여 이들을 병렬로 연결시켜 트렌치 게이트 NMOSFET를 제작하였다. 고밀도 트렌치 소자를 제작한 후 케이트 산화막 두께에 따른 전류-전압 특성을 분석하였다. 트렌치 측벽의 게이트 산화막 두께는 트렌치 측벽의 결정방황에 따라 산화막 두께가 다르게 성장된다. 특히 게이트 산화막 두께의 균일도가 나쁘거나 두꺼울수록 케이트 전류-전압 특성은 다르게 나타난다. 트렌치 형상에 따라 측벽의 산화막 두께가 불균일하거나 혹은 코너 부분의 산화막이 두께가 앓게 증착됨을 알 수 있었다. 이는 트렌치 측벽의 결정방향에 따라 산화막 성장 두께가 다르기 때문이다. 이러한 산화막 두께의 균일도를 향상시키기 위해 트렌치 코너 형상을 개선하여 트렌치 측벽의 게이트 산화막의 두께 균일도를 높였으며, 그 결과 소자의 전기적 특성이 개선되었다. -
Single crystal of
$In_2S_3$ and$In_2S_3:Co^{2+}$ were grown successfully with a good quality by the CTR(Chemical Transport Reaction)method. XRD analysis showed that the grown In2S3 and$In_2S_3:Co^{2+}$ single crystals were cubic structure. The optical absorption spectra of$In_2S_3:Co^{2+}$ single crystal showed impurity absorption peaks due to cobalt impurity. These impurity absorption pesks were assigned to the ligand transition between the split energy levels of$Co^{2+}$ ions with$T_d$ symmetry of these semiconductor host lattice. -
Kong, Sun-Kyu;Zhang, Ying-Ying;Park, Kee-Young;Li, Shi-Guang;Zhong, Zhun;Jung, Soon-Yen;Yim, Kyoung-Yean;Lee, Ga-Won;Wang, Jin-Suk;Lee, Hi-Deok 157
As the minimum feature size of semiconductor devices scales down to nano-scale regime, ultra shallow junction is highly necessary to suppress short channel effect. At the same time, Ni-silicide has attracted a lot of attention because silicide can improve device performance by reducing the parasitic resistance of source/drain region. Recently, further improvement of device performance by reducing silicide to source/drain region or tuning the work function of silicide closer to the band edge has been studied extensively. Rare earth elements, such as Er and Yb, and Pd or Pt elements are interesting for n-type and p-type devices, respectively, because work function of those materials is closer to the conduction and valance band, respectively. In this paper, we increased the work function between Ni-silicide and source/drain by using Pd stacked structure (Pd/Ni/TiN) for high performance PMOSFET. We demonstrated that it is possible to control the barrier height of Ni-silicide by adjusting the thickness of Pd layer. Therefore, the Ni-silicide using the Pd stacked structure could be applied for high performance PMOSFET. -
Park, Book-Sung;Jung, In-Sung;Kwon, Sung-Hun;Lee, Seon-Gu;Lee, Jee-Myun;Son, Sung-Il;Kim, Eun-Tae;Kim, Chul-Ju 158
In general, a wireless communication device has employed a whip antenna or a stubby antenna. Recently, wireless communication device is increasingly employing an embedded antenna, Intenna, for the sake of miniaturization. Further, it may employ both external and embedded antennas. Examples of the embedded antenna include a multi-band monopole antenna, which radiates uniformly in all directions when viewed from above, and a planar inverted F antenna (PIFA), which is a variation of the monopole antenna. However, since the conventional antenna is mounted in a finished state on the mobile communication terminal, there is a limitation of space required for providing the antenna. According to the present study, there is provided an Intenna that is deposited on a front or back case of the mobile communication terminal by a sputtering method. Accordingly, it is possible to overcome a limitation of space required for providing the Intenna and to improve the performance of the Intenna formed on the front or back case of the mobile communication terminal. -
Lee, Gi-Chang;Choe, Jun-Hyeok;Han, Eon-Bin;Kim, Don-Hyeong;Lee, Jun-Hyeong;Kim, Jeong-Ju;Heo, Yeong-U 159
투명전도체 (transparent conducting oxides: TCOs) 는 일반적으로$10^3\Omega^{-1}Cm^{-1}$ 의 전도도, 가시광 영역에서 80%이상의 투명성을 가지는 재료로서, 액정 박막 표시 장치(TFT-LCD), 광기전성 소자, 유기 발광 소자, 에너지 절약 창문, 태양전지(sollar cell) 등 전극으로 사용되고 있다. 최근에는 TCO의 전도도특성을 조절하여 반도성특성을 가진 투명 산화물 반도체(transparent oxide semiconductor: TOS) 을 이용한 박막 트랜지스터 연구가 활발히 진행 중이다. 기존의 실리콘을 기반으로 하는 박막 트랜지스터의 낮은 이동도, 불투명성의 특성을 가지고 있지만, 산화물 박막트랜지스터는 높은 이동도를 발현 할 수 있을 뿐만 아니라, 넓은 밴드갭 에너지를 갖는 산화물을 이용하므로 투명한 특성도 발현 할 수 있어 차세대 디스플레이의 구동소자로서 응용연구가 되고 있다. 이에 본 연구에서는 박막트랜지스터 channel layer로서의 Indium-Tin-Zinc oxide 적용특성을 조사하였다. Indium, Tin, Zinc 의 혼합비율을 다양하게 조절하여 타겟을 제작하였다. 이를 RF magnetron sputtering 를 이용하여 박막으로 성장시켰으며, 기판으로는 glass 기판을 사용하였다. 박막 성장시 아르곤과 산소의 비율을 다양하게 조절하였다. 성장시킨 박막은 Hall effect, Transmittance, Work function, XRD등을 이용하여 전기적, 광학적, 구조특성을 평가하였다. Indium-Tin-Zinc Oxide(ITZO) 을 channel layer로 사용하여 Thin-film transistor 을 제작하여, TFT의 I-V 및 stability특성을 평가하였다. -
In practical etching process, etch ant is sprayed on the metal-deposited panel through nozzles collectively connected to the manifold and that panel is usually composed of many PCB(printed circuit board)'s. The etching uniformity, the difference between individual PCB's on the same panel, has become one of most important features of etching process. In this paper, the prediction of nozzle trajectory has been performed by the combination of algebraic formula and numerical simulation. With the pre-determined geometrical factors of nozzle distribution, the trajectories of individual nozzles were predicted with the change of process operational factors such as panel speed, nozzle swing frequency and so on. As results, two dimensional distribution of impulsive force of etchant spray which could be considered as a key factor determining the etching performance have been successfully obtained. Though only qualitative prediction of etching uniformity have been predicted by the process developed in this study, the expansion to the quantitative prediction of etching uniformity is expected to be apparent by this study.
-
The oxide film of silicon wafer has been mainly polished by fumed silica, colloidal silica or ceria slurry. Because colloidal silica slurry is uniform and highly dispersed composed of spherical shape particles, by which the oxide film polished remains to be less scratched in finishing polishing process. Even though the uniformity and spherical shape is advantage for reducing the scratch, it may also be the factor to decrease the removal rate. We have studied the correlation of silica abrasive particles and CMP characteristics by varying pH, down force, and table rotation rate in polishing. It was found that the CMP polishing is dependent on the morphology, aggregation, and the surface property of the silica particles.
-
세리아는 고체 산화물 연료전지(SOFC, solid oxide fuel cell)의 전해질 재료와CMP( chemical mechanical polishing) 슬러리 재료, 자동차의 3원 촉매, gas sensor, UV absorbent등 여러 분야에서 사용되고 있다. 본 연구에서는 세리아의 입자의 크기와 형상을 조절하여 성능 및 물성을 향상시켜 보다 넓은 분야의 활용을 하고자 실험을 실시하였다. 세리아 합성에 사용되는 전구체인 cerium carbonate의 특성이 세리아 분말의 물리화학적 특성에 직접적인 영향을 주기 때문에 전구체의 합성 단계에서 형상과 크기를 조절하고자 하였다. 세륨염으로 cerium nitrate hexahydrate, 균일침전반응을 할 수 있는 urea를 침전제로 사용하였다. 반응 용매의 유전상수를 조절하고 반응의 과포화도 변화를 이용하기 위하여 에탄올을 첨가하여 입자의 크기 및 형상을 조절, cubic형태의
$Ce_2O(CO_3)_2{\cdot}H_2O$ 결정상을 가지는 세리아 전구체를 합성하였다. 이렇게 생성된 전구체를$1000^{\circ}C$ 에서 2시간동안 하소하여 세리아를 합성하고 반응시간, 농도, 에탄올의 함량 변화에 대해 XRD, FE-SEM, particle size analyzer, micropore physisorption analyzer 분석을 통하여 입자의 결정상과 형상, 입도 분포 및 기공분포 등을 반응인자의 변화에 따라 비교 및 해석하였다. -
반도체 소자가 고집적화 되고 고속화를 필요로 하게 됨에 따라, 기존에 사용되었던 알루미늄이나 텅스텐보다 낮은 전기저항, 높은 electro-migration resistance으로 미세한 금속배선 처리가 가능한 Cu가 주목받게 되었다. 하지만 과잉 디싱 현상과 에로젼을 유도하여 메탈라인 브리징과 단락을 초래할 있고 Cu의 단락인 islands를 남김으로서 표면 결함을 제거하는데 효과적이지 못다는 단점을 가지고 있었다. 특히 평탄화 공정시 높은 압력으로 인하여 Cu막의 하부인 ILD막의 다공성의 low-k 물질의 손상을 초래 할 수 있는 문제점을 해결하기 위하여 기존의 CMP에 전기화학을 결합시킴으로서 낮은 하력에서의 Cu 평탄화를 달성 할 수 있는 기존의 CMP 기술에 전기화학을 접목한 새로운 개념의 ECMP (electrochemical-mechanical polishing) 기술이 생겨나게 되었다. 따라서 본 논문에서는 최적화된 ECMP 공정을 위하여 I-V곡선과 CV법을 이용하여 active. passive. trans-passive 영역의 전기화학적 특징을 알아보았고. Cu막의 표면 형상을 알아보기 위해 Scanning Electron Microscopy (SEM) 측정과 Energy Dispersive Spectroscopy (EDS) 분석을 통해 금속 화학적 조성을 조사하였다.
-
금속배선공정에서 높은 전도율과 재료의 값이 싸다는 이유로 최근 Cu를 사용하였으나, 디바이스의 구조적 특성을 유지하기 위해 높은 압력으로 인한 새로운 다공성 막(low-k)의 파괴와, 디싱과 에로젼 현상으로 인한 문제점이 발생하게 되었다. 이러한 문제점을 해결하고자, 본 논문에서는 Cu 표면에 Passivation layer를 형성 및 제거하는 개념으로 공정시 연마제를 사용하지 않으며, 낮은 압력조건에서 공정을 수행하기 위해, 전해질의 농도 변화에 따른 Liner sweep voltammetry 법을 사용하여 전압활성화에 의한 전기화학적 반응이 Cu전극에 어떤 영향을 미치는지 연구하였으며, 표면 조성을 알아보기 위하여 Energy Dispersive Spectroscopy (EDS) 분석을 하였고, Cu disk의 결정성과 배향성 관찰을 위해 X-Ray diffraction (XRD)로 금속 표면을 비교하여 실험 결과로 얻어진 데이터를 통하여 ECMP 공정에 적합한 전해액 선정과 농도를 선택하였다.
-
$(Ba_{0.6},Sr_{0.3},Ca_{0.1})TiO_3$ powders, which were prepared by sol-gel method using a solution of Ba-acetate, Sr-acetate and Ca-acetate and Ti iso-propoxide, were mixed with organic vehicle and the BSCT thick films were fabricated by the screen-printing techniques on high purity alumina substrates. The structural and dielectirc properties were investigated for various$Pr_2O_3$ and$Y_2O_3$ doping contents. As a result of thermal analysis of$(Ba_{0.6},Sr_{0.3},Ca_{0.1})TiO_3$ powders, the exothermic peak was observed at around$670^{\circ}C$ due to the formation of the polycrystalline perovskite phase. All BSCT thick films, sintered at$1420^{\circ}C$ for 2h, showed the typical XRD patterns of perovskite polycrystalline structure. The average grain size of the specimens decreased with amount of$Pr_2O_3$ and$Y_2O_3$ contents. -
The barium strontium calcium titanate powders were prepared by sol-gel method. Ferroelectric
$(Ba_{0.54}Sr_{0.36}Ca_{0.1})TiO_3$ (BSCT) thick films were fabricated by the screen-printing method on alumina substrate. And we investigated the structural and dielectric properties of BSCT thick films with the variation of sintering temperature. As a result of thermal analysis, BSCT polycrystalline perovskite phase was formed at around$660^{\circ}C$ . The results of X-ray diffraction analysis were showed a cubic perovskite structure without presence of the second phase in all BSCT thick films. The average grain size and the thickness of the specimen sintered at$1450^{\circ}C$ were about 1.6 mm and 45 mm, respectively. The relative dielectric constant increased and the dielectric loss decreased with increasing the sintering temperature, the values of the BSCT thick films sintered at$1450^{\circ}C$ were 5641 and 0.4% at 1kHz, respectively. -
고체산화물 연료전지의 전해질로 사용되는 상용의 YSZ powder는 나노 크기의 입자로서 습식 후막 성형을 위한 분산공정에 많은 문제를 가지고 있다. 기존 tape casting을 이용한 후막 공정의 경우 수 micron 대역의 powder가 주로 사용되었고 MLCC 공정에서 sub micron 크기의 입자가 상용으로 적용되는 수준에 있다. 그러므로 아직 후막 공정이 확립되지 않은 YSZ의 경우 수십 nano 크기 powder의 분산과 casting에 관한 연구 결과 보고는 아직 미흡하다. 본 연구에서는 이러한 연구 필요성에 따라 수십 nano의 입자크기를 나타내는 YSZ 입자의 고농도 분산 조건을 위한 분산제와 용매 량에 대한 기본적인 실험 결과를 제시하고자 하였다. 그리고 이 결과에 기초하여 기존 tape casting 공정에서 사용되는 유기 binder system을 이용하여 후막의 casting 조건 및 sheet의 균일성 확보 조건을 확인 하고자 하였다.
-
On this paper, piezoelectric generators using piezoelectric ceramics were designed and fabricated. Generators were made by attaching cymbal type metal plates on upper and bottom sides of a disc type piezoelectric ceramic. Generator converts wasting mechanical energy to electrical energy. Output voltage was increased when thickness of ceramic and displacement of vibration were increased. Temperature of the ceramic was increased when it generates, but the temperature rising was saturated at certain temperature.
-
본 연구는 초음파 알루미늄 웨지 및 금 볼 본딩을 동시에 적용 가능한 본딩 Pad의 금속학적 안정성을 고려한 표층전극 형성 방법에 관한 것이다. 특히, 이동통신 및 전장용 모듈의 복합 및 융합화로 LTCC기판 패키징에 있어서 다양한 본딩 기술이 요구되고 있다. 전통적인 interconnection 기술인 Au ball 본딩 및 초음파 에너지를 이용한 Al wedge 본딩 기술이 동시에 사용되어야 하는 패키지 구조의 경우 본딩 패드의 표층전극 설계는 서로 상충되는 조건이 요구된다. 따라서, 본 연구에서는 LTCC기판의 표층전극의 Metal finish 방법으로 이용되는 ENEPIG(무전해 Ni/Pd/Au도금)공법으로 Au ball 본딩 및 초음파 Al wedge 본딩을 동시에 가능하게 하는 solution을 제시하여 패키징 자유도뿐만 아니라 Interconnection 신뢰성을 확보할 수 있었다.
-
In this study,
$0.95(K_{0.5}Na_{0.5})NbO_3$ -0.05Li$(Sb_{0.8}Nb_{0.2})O_3$ +$Ag_2O$ + x wt%$MnO_2$ were investigated as a function of the amount of$MnO_2$ addition in order to improve dielectric and piezoelectric properties of Lead-free piezoelectric ceramics. With increasing the amount of$MnO_2$ addition, density and electromechanical coupling factor$(k_p)$ increased up to 0.3wt.%$MnO_2$ and decreased above 0.3wt.%$MnO_2$ . At the sintering temperature of 1020$^{\circ}C$ , Electromechanical coupling factor$(k_p)$ , density, dielectric constant$({\varepsilon}r)$ and mechanical quality factor$(Q_m)$ of composition ceramics with 0.4wt%$Ag_2O$ addition showed the optimal value of 0.431, 4.33 g/$cm^3$ , 820 and 119, respectively. -
Kim, Do-Hyung;Kim, Hwa-Soo;Kang, Jin-Hee;Lee, Yu-Hyong;Hwang, Lark-Hoon;Yoo, Ju-Hyun;Hong, Jae-Il 174
초음파를 이용하여 액체 연료를 분사하면 균일한 입경과 미립화가 우수하며 에너지 절약과 공해방지등을 할 수 있다. 또한 유속과 유량에 관계없이 이용할 수 있어 반도체 분야의 웨이퍼와 평판 표시기상에 사진 석판용 화학물질의 균일도포 컴퓨터 하드 디스크의 광택제 도포등에 사용할 수 있다. 이처럼 초저의 유출 용량을 요구하는 모든 공정 및 액체연료의 분사가 요구되는 모든 산업에 적용할 수 있는 장점을 가지고 있다. 하지만 현제까지 주로 사용되고 있는 초음파노즐의 액츄에이터는 단판액츄에이터형로 높은 교류전압을 인가해주어야 하는 단점을 가지고 있다. 이 단점을 해결하기 위해 적층액츄에이터형을 사용하여 초음파 노즐 구동하면 낮은 교류 입력전압에서도 단판액츄에이터형 초음파 노즐과 같은 특성을 가질 수 있다. 또한 초음파 노즐의 구동시 기계적인 진동을 이용하므로 많은 열을 발생시켜 노즐의 온도가 상승하여 세라믹 액츄에이터에도 그 영향을 미치게 되어 열적 열화 현상이 일어날 수 있기에 높은 큐리온도를 가지는 액츄에이터가 필요하다. 본 실험에서는$Pb(Mn_{1/3}Nb_{2/3})_{0.02}(Ni_{1/3}Nb_{2/3})_{0.12}(Zr_{0.50}Ti_{0.50})_{0.86}O_3$ 조성을 사용하여$900^{\circ}C$ 의 저온에서 액상 소결하여 적층혈액츄에이터를 제작하였으며 압전 및 유전 특성을 조사하였다. 제작된 초음파노즐을 구동하기 위해서는 약 36kHz의 30V이상의 교류입력전압 할 수 있는 구동회로가 필요로 한다. 압전액츄에이터의 구동을 위해서는 정확한 정현파 입력이 필요 없다. 압전액츄에이터의 특성상 유사 정현파 입력 만으로도 임피던스 매칭이 이루어지기 때문에 설계가 쉽고 간편한 Push-Pull 방식을 이용한 PWM인버터를 사용하였고 인버터의 출력 주파수를 34~38kHz까지 가변 할 수 있게 설계하였다. 제작된 적층액츄에이터형 초음파 노즐을 PWM인버터로 실제 액체 연료인 경유를 분사하였을 때의 액츄에이터의 온도 변화에 따른 공진주파수와 온도 의존성, 전기적 특성을 조사하고 미립화 분사되는 경유의 미립자 크기 및 최대 분사량을 조사 하였다. -
In this study, in order to develop the composition ceramics for lead-free ultrasonic motor,
$[(K_{0.5}Na_{0.5})_{0.95}Li_{0.05}(Nb_{0.96}Sb_{0.04})]O_3$ ceramics were fabricated using$Ag_2O$ as sintering aid and a conventional mixed oxide process and their piezoelectric and dielectric characteristics were investigated according to the$SrTiO_3$ substitution.$SrTiO_3$ substitution enhanced density, dielectric constant$(\varepsilon_r)$ and electromechanical coupling factor$(k_p)$ . However, mechanical quality factor was deteriorated. At the 0.5mol%$SrTiO_3$ substitution, density, electromechanical coupling factor$(k_p)$ , dielectric constant$(\varepsilon_r)$ and piezoelectric constant$(d_{33})$ of specimen showed the optimum value of 4.437g/$cm^3$ , 0.457, 1294, 265pC/N, respectively. -
The effect of several
$SiO_2-B_2O_3-Al_2O_3$ -R(R;Ca, Sr, Ba) borosilicate glass system on sintering behavior, dielectric properties and mechanical properties of glass/ceramic composites were investigated. The amount of '+2 valency' metal elements(Ca, Sr, Ba) were examined in LTCC composite of low k glass with cordierite filler. It was sintered for 60minutes in temperature range from 850C to 950. Properties of frit and glass/ceramic composites were analyzed by DTA, XRD, SEM, Network Analyzer, UTM and so on. Dielectric constant ($\varepsilon_r$ ) and$Q{\times}f_0$ (Q) of the composite with 50% glass contents demonstrated$\varepsilon_r$ = 5.4$Q{\times}f_0$ = 1600 GHz. Sintering was complete and maximum bending strength of 160MPa was obtained. -
ZnO 바리스터는 인가되는 전압에 따라 저항이 변하는 전압 의존형 저항체이며 각종 전기 전자 정보통신용 제품에 정전기(ESD) 대책용 소자로 폭 넓게 사용되는 전자 세라믹스 부품이다. 특별히 Bi-based ZnO 바리스터는 다양한 상(phase)으로 구성되어 있으며 그 입계의 전기적 특성은 소량 첨가되는 dopant의 종류에 따라 다양하게 변하는 것으로 알려져 있다. 본 연구에서는 Bi-based ZnO 바리스터 (ZnO-
$Bi_2O_3$ , ZnO-$Bi_2O_3-Mn_3O_4$ )에서 각종 유전함수$(Z^*,M^*,\varepsilon^*,Y^*,tan{\delta})$ 를 이용하여 입계의 주파수-온도에 대한 특성을 살펴 보았다. 일반적인 ZnO 바리스터 제조법으로 시편을 제작하여 78K~800K 온도 범위에서 각종 유전함수를 이용하여 복소 평면도(complex plane plot)와 주파수 응답도(frequency explicit plot)의 방법으로 defect level과 입계 특성(활성화 에너지, 정전용량, 저항, 입계 안정성 등)에 대하여 고찰하였다. ZnO-$Bi_2O_3$ (ZB)계와 ZnO-$Bi_2O_3-Mn_3O_4$ (ZBM)계 모두 상온 이하의 온도에서$Zn_i$ 와$V_o$ 의 결함이 나타났으며, 이들의 결함 준위는 각 유전함수에 따라 다소 차이가 났다. 입계 특성으로 ZB계는 이상구간(560~660K)을 전후로 1.15 eV$\rightarrow$ 1.49 eV의 활성화 에너지의 변화가 나타났지만, ZBM계는 이러한 현상이 나타나지 않았다. 또한 입계 전위 장벽의 온도 안정성에 대해서는 Cole-Cole model을 적용하여 분포 파라미터 (distribution parameter;$\alpha$ )를 구하여 고찰하였다. ZB계의 입계 안정성은 온도에 따라 불안정해 졌지만, ZBM계는 안정하였다. -
$BaTiO_3$ 를 기본조성으로 하는 PTC 써미스터는 Curie 온도이상에서 저항이 급격히 상승하는 산화물 반도체 세라믹이다. 이러한 성질을 이용하여 degaussing 소자, 정온 발열체, 온도센서, 전류 제한소자 등 상업적으로 여러 분야에서 연구되고 있다. 또한 원가절감 등을 위하여 Ni 내부전극을 사용하여 환원 분위기에서 소결하는 칩 타입에 대한 연구가 진행되고 있다. 본 연구에서는 Sm 함량(0.1at%~1.0at%)을 달리한$BaTiO_3$ (Si, Mn, Ca) 계를 선택하여 3%$H_2/N_2$ 분위기에서 1200~$1260^{\circ}C$ , 2h 소결한 후 공기 중에서 재산화 처리하고 재산화 시간에 따른 PTC 특성 변화에 대하여 고찰하였다. 재산화 온도와 시간은 각각$800^{\circ}C$ 와 0.5h~10h으로 하였다. Sm 함량을 달리하여 환원 분위기에서 소결한 시편의 미세구조와 PTC 특성과의 상관관계를 관찰한 결과, 소결온도가 낮을수록 PTC 특성은 좋아졌으며, 상온 비저항은 Sm 함량이 높아질수록 낮아졌다. 또한 Sm 함량이 높아질수록 jumping ratio$(R_{max}/R_{25^{\circ}C})$ 는 낮아졌다. 재산화 시간에 따른 PTC 특성은 다소 떨어졌지만 소결온도에 따라 달리 나타났다. Jumping ratio$(R_{max}/R_{25^{\circ}C})$ 는 Sm을 0.7 at% 첨가한 계에서 재산화를 1시간 처리한 시편에서 가장 우수하였다. -
Myoung, Seong-Jae;Park, Myoung-Sung;Chun, Myoung-Pyo;Cho, Jeong-Ho;Nam, Chung-Hee;Kim, Byung-Ik 180
화학양론적$BaTiO_3$ 의 소결은 고온의 에너지를 필요로 하며, 내부전극과 세라믹충의 동시소성과정에서 Ni이 세라믹층으로의 확산이 발생되어 PTC의 물성저하를 초래한다. 본 연구에서는 저온에서 액상을 형성하여 소결온도를 낮추는 것으로 알려진 산화물 및 비산화물계 소결첨가제가 적층 PTC 세라믹스의 미세구조 및 전기적 특성에 미치는 영향에 대하여 고찰하였다. 소결과정에서 분해되어 기체를 형성하는 BN,$Li_2CO_3$ , LiF의 경우 기공율을 증가시켜 산소의 이동경로를 형성하였으며, 이는 입계의 재산화를 용이하게 하여 PTC 효과를 보였다. -
Park, Myoung-Sung;Myoung, Seong-Jae;Chun, Myoung-Pyo;Jo, Jung-Ho;Nam, Joong-Hee;Kim, Byung-Ik;Nahm, Sahn 182
본 연구에서는 유전 손실이 낮고, 고분자(LCP)의 유전율을 높이기 위하여 (100-x)LCP(polymer)-xNPO(ceramic) 복합체 (x= 0, 10, 20, 30, 40, 50)의 유전 특성 및 미세구조를 연구하였다. LCP와 ceramic filler(NPO)는 Brabender Mixer를 사용하여$300^{\circ}C$ 에서 혼합한 후, Hot press 사용하여$300^{\circ}C$ , 7ton 성형 압력으로 pellet 형태$(2.5cm\times2.5cm\times0.1cm)$ 로 제조 하였다. LCP-NPO 복합 소재를 SEM을 통해 미세구조를 관찰하였고, 복합체의 유전 특성을 조사하였다. -
저온 동시 소성 세라믹(LTCC, Low Temperature Co-firing) 기술 중에서 테이프 캐스팅(tape casting)은 얇고 균일한 세라믹 그린 쉬트를 연속 성형할 수 있으며 성형된 쉬트의 밀도, 표면상태, 두께제어 등이 매우 중요하다. 얇고 균일한 세라믹 그린 쉬트를 제작하기 위해서 슬러리의 분산성과 레오로지 특성은 매우 중요한 요소이며 첨가되는 유기물 첨가제들의 종류와 함량비는 슬러리의 분산성과 점도에 큰 영향을 미친다. 본 연구에서는 유기물 첨가제의 종류와 함량에 따른 슬러리의 점도와 그린 쉬트의 밀도 및 두께 제어에 미치는 영향을 고찰하였다. 바인더로는 acryl, polyvinyl 계를 사용하였으며, 가소제는 glycol, phatalate 계를 사용하였다. 각각 2 종류의 바인더와 가소제의 함량에 따른 레올로지 거동과 그런 쉬트의 밀도를 측정하였다. 각 조성별로 준비된 슬러리를 사용하여 테이프 캐스팅 방법으로 제작된 그린 쉬트의 두께를 측정하여 유기물 첨가제 조성이 그린 쉬트의 두께제어에 미치는 영향을 평가하였다.
-
The
$Sr_{0.7}Bi_{2.3}Nb_2O_9$ (SBN) thin films are deposited on Pt-coated electrode(Pt/Ti/SiO2/Si) using RF sputtering method at various substrate temperature. The optimum conditions of RF power and Ar/O2 ratio were 60[W] and 70/30, respectively. The rougness showed about 4.33[nm]. Deposition rate of SBN thin films was about 4.17[nm/min]. The capacitance of SBN thin films were increased with the increase of substrate temperature. -
An ultrasonic motor of high torque with a new configuration for application in automobiles is proposed. The newly designed stator is a two sided vibrator consisting of a toothed metal disk with a piezoelectric ceramic ring bonded on both faces of the disk which generates a flexural traveling wave along the circumference of disk. In this configuration, the displacement on the surface of stator may not be confined. It also produces a large vibrating force and amplitude because the vibrator is sandwiched by two piezoelectric plates. It is possible to increase the torque by improving the vibration characteristics. To compute the vibration mode of the motor of diameter 48 mm, the finite element method was used. A 6th mode was chosen as the operation mode with a resonance frequency of about 64.4 kHz. According to this design and measured its performance, a prototype was fabricated. The performance measurement of the prototype motor showed that its stall torque was about 1.8 Nm and efficiency was 37% at 60% of the maximum torque.
-
Due to the environmental issue vast research is going on to replace the widely used lead contented piezoelectric materials. Bismuth sodium titanate (abbreviated as BNT) based bismuth sodium titanate-barium titanate (abbreviated as BNBT) ceramic was prepared by using modified method rather than conventional mixed oxide method. This modification was made to improve the properties of BNT based ceramic. In this procedure
$BaTiO_3$ (abbreviated as BT) was prepared using conventional mixed oxide method. Analytical grade raw materials of$BaCO_3$ and$TiO_2$ were weighted and ball milled using ethanol medium. The mixed slurry was dried and sieved under 80 mesh. Then the powder was calcined at$1100^{\circ}C$ for 2 hours. This calcined BT powder was used in the preparation of BNBT. Stoichiometric amount of$Bi_2O_3$ ,$Na_2CO_3$ ,$TiO_2$ and BT were weighted and mixed by using ball mill. The used calcination temperature was$850^{\circ}C$ for 2 hours. Calcined powder was taken for another milling step. BNBT disks were pressed to 15 mm of diameter and then cold isostatical press (CIP) was used. Pressed samples were sintered at$1150^{\circ}C$ for 2 hours. The SEM microstructure analysis revealed that the grain shape of the sintered ceramic was polyhedral and grain boundary was well matched where as the sample prepared by conventional method showed irregular arrangement and grain boundary not well matched. And sintered density was better (5.78 g/cc) for the modified method. It was strongly observed that the properties of BNBT ceramic near MPB composition was found to be improved by the modified method compare to the conventional mixed oxide method. The piezoelectric constant dB of 177.33 pC/N, electromechanical coupling factor$k_p$ of 33.4%, dielectric constant$K_{33}^T$ of 688.237 and mechanical quality factor$Q_m$ of 109.37 was found. -
자연적으로 발생되는 파도, 비, 우박 등과 철도, 차량 및 엘리베이터 등과 같은 인위적인 설치, 이동에 의해 발생되는 진동에너지는 우리 일상생활에서 가장 흔하게 발생할 수 있는 에너지원인데, 이러한 진동에너지는 압전 소재를 이용하여 재생 가능하여 최근에는 이에 대한 연구가 활발히 진행되어 왔다. 예를 들면, 미국의 MIT에서는 인간이 걸을 때 신발에 가해지는 압력을 이용하여 전력을 발생시키는 연구를 진행하여 2.9 mW의 전력을 얻었다. 특히 이러한 기술은 인간의 걷기 운동 등과 같은 일상적인 동작으로 필요한 전력을 얻을 수 있고, 세라믹 소자를 이용하기 때문에 전자노이즈가 발생되지 않을 뿐 아니라 반영구적으로 사용할 수가 있어서, 소형 전자기기 등에 서 기존 이차전지를 대체 또는 보완 할 수 있는 기술로 검토되고 있다. PZT계 세라믹스는 높은 유전상수와 우수한 압전특성으로 이러한 압전발전 분야에서 가장 널리 사용되어지고 있다. 하지만 에너지 효율을 높이기 위하여 적층 구조의 제작 시 구조적 특성상 내부전극이 도포된 상태에서 동시 소결이 필요한데,
$1000^{\circ}C$ 이상의 높은 소결온도 때문에 소재 원가가 낮은 Ag전극 대신 값비싼 Pd나 pt가 다량 함유된 Ag/Pd, Ag/Pt 전극이 사용되고 있어 경제성이 떨어지는 단점을 갖게 된다. 순수 Ag 전극을 사용하거나 Ag의 비율이 높은 내부전극을 사용하기 위해서는$900^{\circ}C$ 이하에서 소결되고 우수한 전기적 특성을 보이는 압전 세라믹스 소재를 개발 하는 것이 필요하다. 따라서 본 연구에서는 압전특성이 우수한$(Pb_{1-x}Cd_x)(Ni_{1/3}Nb_{2/3})_{0.25}(Zr_{0.35}/Ti_{0.4})O_3$ 계의 조성을 설계하고, 소결온도를 낮추기 위해서 2 단계 하소법을 이용하였다. 또한$MnCO_3$ ,$SiO_2$ ,$Pb_3O_4$ 등을 소랑 첨가하여 액상 소걸 특성을 부여하여 소결 온도를 감소시키려는 시도도 하였다. 소결체의 전체적인 제조 공정은 일반적인 벌크 세라믹의 소걸 공정을 따랐다. 최종 소결된 시편을 XRD분석을 통하여 상을 확인하였고 SEM을 이용하여 미세조직을 관찰 하였다. 전기적 특성을 평가하기 위하여 두께를 1mm로 연마한 시편에 Ag 전극을 도포하여$650^{\circ}C$ 에서 열처리한 후, 분극처리 하였다. Impedance analyzer를 이용하여 압전 특성 (전기기계결합계수 및 기계적품질계수)을 측정 하였고, 압전전하상수는$d_{33}$ -meter로 측정하였다. 본 연구에서는 압전체에 가해지는 하중의 크기, 시편의 크기, 하중을 가하는 방법, 에너지 저장회로의 최적화 등을 다양하게 시도하면서 에너지 변환 및 저장 효율을 평가하였다. -
강유전성 세라믹스 재료로써는 PZT계열의 세라믹재료가 널리 쓰이고 있다. 이는 우수한 유전 및 압전특성을 가지고 있으나, PbO을 다량 함유하고 있어
$1000^{\circ}C$ 이상에서 PbO가 급격하게 휘발되는 성질 때문에 조성의 변동이 생겨 재현성이 어려우며 이를 방지하기 위하여 PbO를 과잉 첨가시키기 때문에 PbO휘발로 인한 강한 독성이 인체에 유해하고, 비환경 친화적인 물질로 최근에는 환경문제가 대두됨에 따라 대체 또는 보완 할 수 있는 방안에 검토되고 있다. 본 연구는 그 해결책의 한 방안으로 압전특성이 우수한$(Pb_{0.94}Sr_{0.06})[(Ni_{1/2}W_{1/2})_{0.02}(Mn_{1/3}Nb_{2/3})_{0.07}(Zr_{0.51}Ti_{0.49})_{0.91}]O_3$ 계 조성을 설계하고 Glass frit(0~1.1 wt%)를 소량 첨가하여 액상 소결 특성을 부여하고$1000^{\circ}C$ 이하의 저온에서 소결하여 유전 및 압전 특성을 평가하였다. 실험방법은 일반적인 세라믹스 제조공정으로 24시간 ball milling하고$850^{\circ}C$ 에서 2시간 하소 후 Glass frit를 소결조제로 소랑 첨가하여$1000^{\circ}C$ 이하 온도에서 소결을 진행하여 각 소결온도에 따른 유전 및 압전 특성을 평가하였다. 최종 소결된 시편의 밀도와 수축율을 분석하여 최적의 소결온도를 확립하였으며 XRD분석을 통해 perovskite구조를 확인하고 미세구조확인을 위해 SEM으로 관찰하였다. 압전 특성을 평가하였다. -
This paper represents a piezoelectric ultrasonic linear motor by traveling wave. The motor which is composed of two piezo ceramics, elastic body, and connecting tip is driven by the frictional force between the connecting tip and the linear motion guide. longitudinal and flexural vibrations are made by traveling wave which is generated when the ultrasonic electrical signals with 90 degree phase difference are applied to two ceramics. These vibrations contribute to elliptical motion by mixed mode between longitudinal and transverse mode. A linear movement can be easily obtained by using the elliptical motion. In this paper, the piezoelectric actuator has been intensively simulated by using ATILA to achieve an optimized elliptical motion of it. We could get the elliptical motion from actual experiment through the simulated result.
-
Wearable and ubiquitous micro systems will be greatly growing and their related devices should be self-powered in order to avoid the replacement of finite power sources, for example, by scavenging energy from the environment. With ever reducing power requirements of both analog and digital circuits, power scavenging approaches are becoming increasingly realistic. One approach is to drive an electromechanical converter from ambient motion or vibration. Vibration-driven generators based on electromagnetic, electrostatic and piezoelectric technologies have been demonstrated. Among various generator types proposed so far, piezoelectric generator possesses considerable potential in micro system. To overcome low mechanical-to-electric energy conversion, the piezoelectric device should activate in resonance mode in response to external vibration. Normally, the external vibration excretes at low frequency ranging 0.1 to 200 Hz, whereas the resonant frequencies of the devices are fixed as constant. Therefore, keeping their resonant mode in varying external vibration can be one of important points in enhancing the conversion efficiency. We investigated the possibility of use of multi-bender type piezoelectric devices. To match the external vibration frequency with the device resonant frequency, the various devices with different resonant frequency were chosen.
-
Lead oxide based ceramics, represented by PZT, are the most widely used materials for piezoelectric actuators, sensors, and transducers due to their excellent piezoelectric properties. In particular, high-performance multilayered piezoelectric ceramics for advanced electronic components have drawn great attention. In order to develop piezoelectric ceramics capable of being sintered at low temperature for multilayer piezoelectric device applications, the effect of CuO additions on the microstructures and electromechanical properties of the 0.4Pb
$(Mg_{1/3}Nb_{2/3})O_3-0.25PbZrO_3-0.35PbTiO_3$ ceramics was investigated. The samples with CuO addition were synthesized by ordinary sintering technique. X-ray diffractions indicated that all samples formed a single phase perovskite structure. The addition of CuO improved the sinterability of the samples and caused an increase in the density and grain size at low temperature. The optimum sintering temperature was lowered by CuO additions. Excellent piezoelectric and electromechanical responses,$d_{33}$ ~ 663 pC/N,$k_p$ ~ 0.72, were obtained for the samples of high density with 0.1 wt% CuO addition sintered at$1050^{\circ}C$ for 4 h in air. These results show that the piezoelectric properties of PMNZT ceramics can be improved by controlling the microstructure and this system is potentially a good candidate as multilayer piezoelectric device for a wide range of electro-mechanical transducer applications. -
Low Temperature Sintering and Dielectric Properties of Ceramic/glass Composites with CAS-Based glassCAS계 유리에
$CaCO_3-Al_2O_3$ 혼합물 및 화합물을 10, 30 wt% 첨가하여 저온 소걸 및 마이크로파 유전 특성을 고찰하였다. CAS계 유리의 연화온도는$841^{\circ}C$ 이며, CAS계 유리에$CaCO_3$ 와 30 wt%의$CaCO_3-Al_2O_3$ 혼합물을 melting되며, 10 wt%의$CaCO_3$ ,$Al_2O_3$ ,$1CaCO_3-1Al_2O_3$ 혼합물 및$CaAl_2O_4$ 화합물를 10 wt% 첨가하였을 때$900^{\circ}C$ 이하에서 소걸이 가능하였다. 복합체의 XRD 상 분석 결과, CaCO3를 첨가하였을 때에는 모든 조성이 비정질을 나타내었고,$Al_2O_3$ 와$1CaCO_3-1Al_2O_3$ 혼합물은$Al_2O_3$ 결정상이 생성되었고,$CaAl_2O_4$ 화합물은$CaAl_2Si_2O_8$ 의 hexagonal와 anorthite 결정상이 생성되었다. 따라서 CAS-10 (A, C-A, CA) 복합체는$900^{\circ}C$ 에서 각각 유전율 ($\varepsilon_r$ ) 6.4, 6.9, 5.15 와 품질계수 ($Q^*f$ ) 2,400, 1,500, 3,000의 마이크로파 유전 특성을 나타내어 LTCC 기판 재료로 사용이 가능하며, 특히$CaAl_2O_4$ 화합물을 사용하였을 때 가장 우수한 유전 특성을 나타내는 것을 확인하였다. -
We have investigated that the effect of post annealing on the structural and electrical properties of
$Ba_{0.5}Sr_{0.5}TiO_3$ thin films. The BST thin films were deposited on n-type 4H-silicon carbide(SiC) using pulsed laser deposition (PLD). The deposition was carried out in oxygen ambient 100mTorr for 5 minutes, which results in about 300nm-thick BST films. For the BST/4H-SiC, 200nm thick silver was deposited on the BST films bye-beam evaporation. The X-ray diffraction patterns of the BST films revealed that the crystalline structure of BST thin films has been improved after post-annealing at$850^{\circ}C$ for 1 hour. The root mean square (RMS) surface roughness of the BST film measured by using a AFM was increased after post-annealing from 5.69nm to 11.49nm. The electrical properties of BST thin film were investigated by measuring the capacitance-voltage characteristics of a silver/BST/4H-SiC structure. After the post-annealing, dielectric constant of the film was increased from 159.67 to 355.33, which can be ascribed to the enhancement of the crystallinity of BST thin films. -
There are fast growing demands for new dielectric materials for passive capacitors of RF-ICs and other wireless applications. One of the bulk microwave dielectric materials which have superior properties is
$ZrTiO_4$ due to its large dielectric constant and high quality factor. Therefore,$ZrTiO_4$ is worth studying as a form of thin film to be applied for passive capacitors of integrated circuits. In this study, we fabricated metal-insulator-metal type capacitors with$ZrTiO_4$ dielectric thin film, and evaluated their capacitor properties. -
페로브스카이트 구조의 (Ba,Sr,Ca)
$TiO_3$ 분말에$Y_2O_3$ 불순물을 첨가하여 첨가량에 따른 영향을 연구하였다. 시편의 제작은 Screen-printing을 이용하여 후막으로 제작하였으며, 구조적인 특성과 함께 유전적 특성을 관찰하였다. XRD 회절 운석을 통하여$Y_2O_3$ 가 첨가된 모든 시편에서 전형적인 페로브스카이트 구조를 나타내는것을 알 수 있었다. 시편의 미세구조를 관찰한 결과 grain size 는$Y_2O_3$ 첨가량이 증가 할수록 감소하였으며, 기공은 증가하는 것을 알 수 있었다. 후막의 두께는$Y_2O_3$ 첨가량에 영향을 받지 않았으며 평균 두께는$60{\mu}m$ 이었다. 유전상수는$Y_2O_3$ 첨가량에 따라 감소하였으며, 유전손실은 모든 시편에서 1%이하의 양호한 값을 나타내었다. -
This paper reports on electrical characterization by IDC pattern using BST
$(Ba_{0.5}Sr_{0.5}TiO_3)$ thin film. BST thin films have been deposited on$Al_2O_3$ Substrates by Nd-YAG pulsed laser deposition with a 355nm wavelength at$700^{\circ}C$ . The post deposition annealing at$750^{\circ}C$ in flowing$O_2$ atmosphere for I hours. The capacitance of IDC patterns have been measured from 1 to 10 GHz as a function fo electric field (${\pm}40$ KV/cm) at room temperature using interdiigitated Au electrodes deposited on top of BST. The IDC patterns have three type of fingers number. For the finger paris was increased onto$Al_2O_3$ , the capacitance increased. The capacitance of 5 pairs finger was 0.3pF and 10 pairs finger was 0.9pF. -
박형초음파모터의 구조는 그림 1(a) 와 같이 크로스형태의 앓은 스테이터에 윗면과 아랫면에 각각 8 개의 압전세라믹이 부착된 형태이다. 압전세라믹의 분극방향은 로터와 접촉하는 스테이터의 A, B, C, D 네 개의 타점에서 순차적인 타원변위가 생성되도록 결정된다. 유한요소해석프로그램인 ATILA 5.2.4를 사용하여 최적설계를 한 결과 폭 3[mm], 길이 18[mm], 두께 1.8[mm], Brass 재질, Mid surface clamp 조건에서 입력전압 18[Vrms] 일 때 0.3[
${\mu}m$ ]의 변위를 보였다. 최적설계된 모델을 제작하였고, 정확한 실험결과를 얻기 위해서 푸쉬풀게이지, x-y스테이지, rpm 메타, 토크게이지를 이용하여 실험테이블을 구성하였다. 그림 1(b) 는 마이크로컨트롤러를 이용한 구동 드라이버를 보여준다. 한 주기에서 1/4분주의 순차적인 네 개의 구형파를 생성하고, 이를 push-pull회로를 동하여 90도의 위상차가 나는 정현파를 생성하여 초음파 모터의 구동전원으로 사용한다. 엔코더와 AD 컨버터를 이용하여 정속도 운전을 위한 피드백 제어가 된다. 제안된 구동드라이버를 이용하여 측정한 결과, 구동 주파수 88.6[kHz], 입력전압 [40Vrms], preload 0.2 [N]에서 130 [rmp] 의 속도와 25 [gfcm] 의 토크특성을 보였다. 압력전압을 증가시킬수록 속도는 선형적인 증가를 보였고, 토크는 이와 반대로 감소하는 특성을 보였다. 피드백 제어회로가 없는 경우에는 preload 변화에 따른 극심한 속도 변화를 보였고, 피드백 제어를 하였을 경우에는 0.2~0.4[N]의 범위에서 정속도 운전이 가능함을 확인하였다. 기존의 주파수발생기와 파워 엠프를 이용한 구동장비와의 특성비교에서도 큰 차이를 보이지 않았으며, 장시간의 운전에도 안정적인 구동이 가능함을 확인하였다. -
The world gross market of many kinds of electronics, such as TV and mobile phone has been increasing rapidly these days. It is mainly caused by the amazing developments of IT technology during past decade and the changes of individual life style for the better. Thanks to the increases of electronics manufactured in quantity, much more electronic components such as MLCC (multi layer ceramic capacitor) and PCB (printed circuit board), which are our main products, have been needed as a consequence. Though it was reported that total market of electronic components exceeds several hundreds of billion dollars, there are many manufactures struggling for survival in the competition of electronics components. Then the recognition of quality as a key technology has spread and the efforts for high-yield production lines have been kept in many companies. In this paper, our efforts to eliminate the contamination of particles and the diffusion of some volatile organic compounds which is very harmful to workers at production line have been introduced.
-
In this study, in order to develop the multilayer piezoelectric actuator and ultrasonic resonator, PMN-PNN-PZT ceramics were fabricated by the variations of ball size at sintering temperature of
$900^{\circ}C$ and their piezoelectric and dielectric characteristics were investigated as a function of the variations of ball size. When the ball size was 3mm$\phi$ , density, dielectric constant$({\varepsilon}r)$ , electromechanical coupling factor(kp) and piezoelectric d constant$(d_{33})$ were increased. At the ball size of 3mm$\phi$ , the specimen showed the optimum values of density=7.909g/$cm^3$ , kp=0.592, Qm=1292,$d_{33}$ =368pC/N,$\varepsioln_r$ =1502, respectively. -
PZT 세라믹은 우수한 유전 및 압전특성을 갖고 있어 변압기, 센서 및 엑츄에이터 등에 널리 응용되고 있다. 그러나, 우수한 특성에도 불구하고 PZT세라믹스의 소결시 PbO의 높은 유독성 및 휘발로 인하여 환경오염을 야기 시킨다. 그러므로 PbO로 구성된 세라믹을 대체하기 위한 우수한 압전특성을 가진 비납계 세라믹스 개발이 연구의 주류를 이루고 있다. 그 중 비납계 NKN와 BZT는 대체물질로 많이 관심을 받고 있다. 이는 일반적인 NKN조성은 우수한 압전성과 높은 큐리온도를 가지고 있을 뿐만 아니라, BZT조성의 Zr성분이 큐리온도를 낮추거나 유전특성을 졸게 하여 유전율 곡선을 완화하게 하는 특징이 있다. 하지만 NKN은
$1140^{\circ}C$ 이상의 소결온도에서 K의 휘발특성으로 인해 소성 후에도 주변의 수분을 흡수하는 조해성이 발생하는 문제가 발생한다. 그래서 본 연구에서는 낮은 온도에서 NKN계 세라믹스의 밀도를 증가시킬 뿐만 아니라, 우수한 유전 및 압전특성을 갖는 세라믹스를 제조하고자 비납계$0.94(K_{0.5}Na_{0.5})NbO_3-0.06Ba(Zr_{0.05}Ti_{0.95})O_3$ (NKN-BZT)의 조성을 사용하였고 소결조제로는$MnO_2$ , NiO,$Bi_2O_3$ , ZnO,$Li_2CO_3$ , CuO등을 변화주어 유전 및 압전 특성을 알아보았다. -
압전소자를 이용한 초음파 모터는 전자기적 원리로 동작하는 기존의 모터에 비해 구조가 간단하고 소형, 경량화가 가능하며 저속에서 큰 토크가 가능하고
${\mu}m$ 단위 까지 정밀제어가 가능하다는 장점 등으로 인해 그 응용분야가 점차 확대되고 있다. 초음파 모터의 원리는 수평과 수직방향에서 변위가 타원형 운동을 형성하는 것이다. 따라서 선택한 타원운동의 방식에 의해서 모터의 형상이 달라진다. 초음파 모터는 액츄에이터를 사용하여 만들기 때문에 액츄에이터의 특성은 모터의 타원변위나 토크에 영향을 미친다. 단판형 액츄에이터에 비하여 적층 액츄에이터는 입력 임피던스를 낮추어 낮은 구동전압에서 구동이 가능하며 큰 변위와 토크를 발생하기 때문에 진동자의 수명 향상과 구동전압을 낮추기에 적합하다. 적층 액츄에이터는 변위량이나 응력 등을 개선하기 위해서 전기기계 결합계수(kp) 및 압전 d상수가 큰 재료가 요구되며, 고전압에서 장시간 구동 시 마찰에 의한 열손실을 감소시키기 위해 높은 기계적 품질계수(Qm)를 가져야한다. 적층 시 내부전극으로 사용하는 Pd, Pt가 함유된 전극은 가격이 비싸 제조비용을 상승시킨다. 상대적으로 값싼 Ag전극을 사용하면 비용절감을 할 수 있지만 융점이 낮아서 저온소결이 불가피하다. 따라서, 특성이 우수한 적층 액츄에이터를 제조하기 위해서 저손실, 저온소결 할 수 있는 액츄에이터 재료가 필요한 실정이다. L1-B4 혈 선혈 초음파 모터는 L1모드와 B4모드의 공진 주파수가 일치하여야 큰 변위를 얻을 수 있는데 이전의 논문에서 Atila를 이용한 시뮬레이션 결과를 분석한 봐 있다. 적층 액츄에이터의 층수를 5,7,9,11,13,15층으로 하여 L1-B4모드에서의 공진주파수를 비교한 결과 13 층일 때 두 모드가 비슷한 공진주파수를 보였고, 티원변위궤적도 다른 층수에 비해 크게 나타났다. 본 연구에서는 시뮬레이션 결과 가장 좋은 특성을 보인 13층 액츄에이터로 선형 초음파 모터를 제작하였다. 또한, 액츄에이터는 압전 및 유전특성이 우수한 저온소결 PZW-PMN-PZT세라믹을 이용하여 제작하였고, 내부전극으로 Ag전극을 사용하였다. 제작된 13 층 선형초음파모터를 가지고 프리로드 및 전압에 따른 속도를 조사하였고, 시뮬레이션 결과와 비교해 보았다. -
Modern industry has focused on processing that produce low- loss dielectric substrates used complex micron-sized devices using tick film technologies such as tape casting and slip casting. However, these processes have inherent disadvantages fabricating high density interconnect with embedded passives for high speed communication electronic devices. Here, we have successfully fabricated porous alumina dielectric layer infiltrated with polymer solution by using inkjet printing process. Alumina suspensions were formulated as dielectric ink that were optimized to use in inkjet process. The layer was confirmed by field emission scanning electron microscope (FE-SEM) for measuring microstructure and volume fraction. In addition, the reaction kinetics and electrical properties were characterized by FT-IR and the impedance analyzer. The volume fraction of alumina in porous dielectric alumina layer is around 70% much higher than that in the conventional process. Furthermore, after infiltration on the dielectric layer using polymer resins such as cyanate ester. Excellent Q factors of the dielectric is about 200 when confirmed by impedance analyzer without any high temperature process.
-
Lim, Jong-Woo;Kim, Hyo-Tae;Lee, Eun-Heay;Yoon, Young-Joon;Koo, Eun-Hae;Kim, Jong-Hee;Park, Eun-Tae;Lee, Jong-Myun 208
Micro patterning of conductor line/space on LTCC green sheet in the LTCC module is an important process for miniaturization in 3D integrated circuits. This work presented the effect of inorganic binders on the microstructure, adhesion, electrical resistivity, shrinkage and line/space resolution, which is a part of study in photoimageable conductor paste. The photoimageable conductor paste contains silver powder, polymer binder, monomer, photo-initiator, UV absorber, and solvent. The inorganic binders were furnished with varied weight percentage of anorthite, diopside and MLS-62 glass frits from 0% to 7%. The Line/space sizes thus obtained was under 25 micron. -
$BaTiO_3$ 는 대표적인 강유전체 재료로서 적층형 세라믹 콘덴서 (MLCC), PTC thermistor, resonator 등 다양한 분야에서 사용되고 있다.$BaTiO_3$ 기본조성으로 하는 PTC 써미스터는 온도가 올라감에 따라 저황이 높아지는 특성을 가지고 있으며, 이러한 PTCR 특성은 작동되는 큐리온도에 따라 그 적용이 달라진다. PTCR 소자는 소결온도, 소결분위기, 불순물, 첨가제 등의 제조공정상의 인자들과 기공률, 결정립 크기 등이 복합적으로 작용해 PTCR 특성에 영향을 미치기 때문에 제조하기에 무척 까다로운 소자로 알려져 있다. 하지만 우수한 특성을 지닌 PTCR 소자를 제조하기 위하여 새로운 조성개발이 이루어지고 있으며, 전기적 특성 개선, 재현성 확보, 제조원가 절감 등의 측면에서 새로운 공정개발이 이루어지고 있다. 본 연구에서는 Sm을 첨가한$BaTiO_3$ 계 재료의 PTCR 특성에 미치는 Ti/Ba ratio 등의 변화에 따른 영향을 조사하고 공기 중과 환원분위기 중에서 소결된 시편의 차이점과 재산화 처리에 따른 PTC 특성에 미치는 영향을 R-T 측정으로 고찰하였다. 본 조성은 환원 분위기에서 소결할 경우 그 미세구조는 Ti/Ba ratio비가 높을 때 grain size가 커져 상온 비저항을 낮출 수 있었다. Sm 첨가로 상온 비저항값은 낮출 수 있었으나 공기 중에서 재산화 처리하더라도 jumping ratio$(R_{max}/R_{25^{\circ}C})$ 는 Ti/Ba ratio와 거의 무관한 것으로 분석되었다. -
에어로졸 증착법은 상온에서 다양한 기판 상에 고밀도의 세라믹 후막을 코팅할 수 있는 최신 기술로써 다양한 방면으로의 응용이 개대되고 있다. 본 실험에서는 ADM을 이용하여 고주파수 영역에서 사용가능한 기판소재 제조에 관한 연구를 진행하였다. ADM을 통해 형성된
$Al_2O_3$ 막의 유전율은 9-10으로 bulk 시료와 비슷한 특성을 보였으나 후막의 손실률의 경우는 bulk 시료에 비해 상당히 컸으며 주파수 증가에 따라 그 값이 크게 감소하는 경향을 보였다. 본 실험에서는 ADM으로 형성된$Al_2O_3$ 의 높은 손실률의 원인에 대해 고찰하고 ADM 을 통해 기판소재로 사용가능한 저손실의$Al_2O_3$ 막의 제조를 위한 방법을 제시하고자 하였다. -
태양열, 바람, 지열, 진동 등의 우리주변에서 쉽게 얻을 수 있는 에너지를 모아서 필요로 하는 전자기기의 에너지원으로 사용하는 개념의 에너지하비스팅에 대한 연구가 활발히 진행되고 있다. 기계적인 변경으로 전압이 발생하는 압전체는 오래전 알려져 있었지만 발생전압이 낮아 에너지발전용으로 적용이 힘들었다. 하지만 전자기기의 소형화와 함께 필요로 하는 전력도 수
${\mu}W$ 로 낮아짐으로 압전체를 이용한 에너지하비스팅이 주목 받고 있다. 전선으로 연결하여 전원을 공급하기 힘든 위치에 있는 전자기기는 주기적으로 배터리를 교환해 주어야한다. 이는 시간적, 금전적, 인적자원의 낭비이며 반영구적으로 전원을 공급할 장치개발이 필요하다. 구조물은 수~ 수십 Hz로 진동을 하며 이 진동으로 압전체에 변형을 주어 전압을 발생시킨다. 변형이 클 때 발생전압도 크게 되므로 압전체를 칸틸레버형태로 제작했다. 더 큰 전압발생을 위해 메탈을 사이에 두고 양면에 압전체를 둔 바이몰프 형태로 캔틸레버를 제작했다. 이때 진동의 충격을 완화시켜 압전체에 인가되도록 하고 구조물의 고유진동수모다 큰 진동수로 압전체가 진동하도록 하기위해 스프링을 사용하여 제작하였다. 이 스프링 지지구조 및 가진 조건에 따른 발전특성을 알아보았다. -
에폭시 수지는 화학적 열적 안정성과 절기 절연성 및 기계적 특성 등 여러 가지 우수한 특성에도 불구하고 난연성은 그 단독으로 만족시킬 수 없기 때문에 난연제를 첨가함으로써 난연효과를 얻어왔다. 기존에 할로겐 화합물인 브롬계 난연제는 우수한 난연효과에도 불구하고 연소시 유해물질이 발생되어진다. 그리하여 인계 난연제를 첨가하는 것이 고분자 시스템에 난연성을 부여하는 효과적인 수단으로 대두되어지고 있다. 이 실험에서는 인계 난연제와 브롬계 난연제를 10, 20, 30, 40wt% 첨가하여 epoxy composite 제작하였다. 제작된 epoxy composite를 UL-94V 방법으로 난연성 평가하여 브롬계 난연제 20wt%에서 V-0를 획득할 수 있었으나 인계난연제 40wt%에서도 V-0를 만족할 수 없었다. 난연제 함량에 따른 Dielelctric constant 및 loss는 브롬계 난연제를 첨가시 감소하였고, 인계난연제의 경우 증가하였다.
-
Kim, Jin-Sa;Oh, Yong-Cheul;Shin, Cheol-Gi;Kim, Eung-Kwon;So, Byeong-Mun;Song, Min-Jong;Kim, Chung-Hyeok 213
The$Sr_{0.7}Bi_{2.3}Nb_2O_9$ (SBN) thin films are deposited on Pt-coated electrode(Pt/Ti/SiO2/Si) using RF sputtering method at various substrate temperature. The optimum conditions of RF power and Ar/O2 ratio were 60[W] and 70/30, respectively. The crystallinity of SBN thin films were increased with increase of substrate temperature in the temperature range of 100~400[$^{\circ}C$ ]. The capacitance of SBN thin films were increased with the increase of substrate temperature. -
Using the extensional vibration mode of PZT ring, a piezopump is successfully made. The PZT ring is polarized with thickness direction. The traveling extensional wave along the circumference of the ring is obtained by dividing two standing waves which are temporally and spatially phase shifted by 90 degrees from each other. The proposed piezopump is consisted of coaxial cylindrical shells that are bonded piezoelectric ceramic ring.
-
$BaTiO_3$ 는 perovskite 구조를 가지는 대표적인 강유전체 재료로서 MLCC (Multi Layer Ceramic Capacitor), PTC thermistor 등에 널리 사용되어지고 있으며, 그 특성을 향상시키기 위하여 많은 연구가 진행되고 있다. 현제$BaTiO_3$ 분말 제조의 대표적인 합성법으로는 하소와 분쇄공정이 없는 수열합성법이 대표적이나, 나노 사이즈로 제작시$BaTiO_3$ 는 마이크로 크기와 달리 입방정상으로 우세한 상태로 존재한다. 이는 제조과정 중의 hydroxyl defect의 영향과 나노 분말의 표면에너지 증가 때문이라고 보고된다. 따라서 본 연구는 이러한 문제점을 해결하기 위해 일반적인 세라믹 제조 방법인 고상반응법을 이용한 나노 사이즈의$BaTiO_3$ 제조를 위한 최적의 공정 조건을 확립하기 위하여 본 연구를 진행하였다. 조성은$BaTiO_3$ 와 반응온도를 낮추기 위한 anatase의$TiO_2$ 를 사용하였고,$BaCO_3/TiO_2$ 의 조성비 (1. 1.01, 1.02, 1.03)를 제어하여 혼합한 후, 24h ball-mill 하여 하소 온도 ($860^{\circ}C{\sim}1000^{\circ}C$ ) 변화에 따른 입자 사이즈와 입도 분포를 측정하였다. 제조된$BaTiO_3$ 분말의 결정 구조 분석을 위하여 XRD (X-ray diffraction) 분석을 수행 하였는데, 분석 결과로부터 제조된 분말들이 정방정 (tetragonal)의 perovskite구조를 갖고 있음을 확인하였다. 또한 분말의 미세구조 확인을 위하여 SEM (scanning electron microscope) 관찰을 수행하였는데, 나노 사이즈의 구형 분말을 얻을 수 있음을 확인할 수 있었다. -
최근 미관을 위하여 소형 네온관 등이 사용되고 있다. 따라서 네온관 등 구동에 사용되는 인버터는 안정기 역할을 하면서 소형화와 경량화가 중요한 요소이다. 본 연구는 압전 특성이 우수한 PZT계 세라믹스 조성인
$(Pb_{0.94}Sr_{0.06})[(Ni_{1/2}W_{1/2})_{0.02}(Mn_{1/3}Nb_{2/3})_{0.07}(Zr_{0.51}Ti_{0.49})_{0.91}]O_3$ 에 특성 향상을 위해 PbO,$Fe_2O_3$ ,$CeO_2$ , Xwt%$Nb_2O_5$ 조성별로 첨가하였으며 그에 따른 유전, 압전 특성을 조사하고 또한 Rosen type의 압전 트랜스포머를 제작하여 각 조성별 변환효율을 측정하였다. 실험방법은 일반적인 세라믹스 제조공정으로 파우더 혼합 후 24시간 ball milling하고$850^{\circ}C$ 에서 2시간 하소 후$1230^{\circ}C$ 에서 2시간 소결하였다. 또한 최종 소결 시편을 이용하여 Rosen type의 압전 트랜스포머를 제작하였다. 상 분석을 위해 XRD를 이용하여 perovskite구조를 확인하고 미세구조확인을 위해 SEM으로 관찰하였다. 압전 특성을 평가하기 위해 압전$d_{33}$ Meter를 사용하였으며, Impedence analyzer HP 4194A를 이용하여 전기적 특성을 측정하였다. -
Energy harvesting from the environment has been of great interest as a standalone power source of wireless sensor nodes for ubiquitous sensor networks (USN). There are several power generating methods such as thermal gradients, solar cell, energy produced by human action, mechanical vibration energy, and so on. Most of all, mechanical vibration is easily accessible and has no limitation of weather and environment of outdoor or indoor. In particular, the piezoelectric energy harvesting from ambient vibration sources has attracted attention because it has a relative high power density comparing with other energy scavenging methods. Through recent advances in low power consumption RF transmitters and sensors, it is possible to adopt a micro-power energy harvesting system realized by MEMS technology for the system-on-chip. However, the MEMS energy harvesting system hassome drawbacks such as a high natural frequency over 300 Hz and a small power generation due to a small dimension. To overcome these limitations, we devised a novel power generator with a spiral spring structure. In this case, the energy harvester has a lower natural frequency under 200 Hz than a normal cantilever structure. Moreover, it has higher an energy conversion efficient because shear mode (
$d_{15}$ ) is much larger than 33 mode ($d_{33}$ ) and the energy conversion efficiency is proportional to the piezoelectric constant (d). We expect the spiral type MEMS power generator would be a good candidate as a standalone power generator for USN. -
With recent advanced in portable electric devices, wireless sensor, MEMS and bio-Mechanics device, the new typed power supply, not conventional battery but self-powered energy source is needed. Particularly, the system that harvests from their environments are interests for use in self powered devices. For very low powered devices, environmental energy may be enough to use power source. Therefore, in other to made piezoelectric energy harvesting device. The made 31 type triple-morph cantilever was resulted from the conditions of 100k
$\Omega$ , 0.25g, 154Hz respectively. The thick film was prepared at the condition of$6.57V_{rms}$ , and its power was$432.31{\mu}W$ and its thickness was$50{\mu}m$ . -
Recently, piezoelectric transformer is applied to wide fields. Multi layer piezoelectric transformer has the advantage of high step up ratio, high electromechanical coupling coefficient(Kp) and high mechanical quality factor(Qm), however it shows the peeling-phenomenon of electrode, and high price due to high sintering temperature. Therefore this study focus on the method for fabrication of high power rosen type piezoelectric transformers. The composition of
$0.01Pb(Ni_{1/3}Nb_{2/3)O_3$ - 0.08Pb$(Mn_{1/3}Nb_{2/3})O_3$ -0.91Pb$(Zr_{0.505}Ti_{0.495})O_3$ (abbreviated as PNN-PMN-PZT) ceramics is employed for this study. -
고주파용 집적회로 기판소재로의 응용을 위해 세라믹 특유의 취성을 개선한
$Al_2O_3$ -polyimide 복합체 후막을 에어로졸데포지션법을 이용해 제조하고 그 특성을 평가하였다. 그 결과 기공이 거의 없이 치밀한 구조를 갖는$Al_2O_3$ -polyimide 복합체 후막이 구리 및 유리 기판 상에 성막 되었음이 SEM 및 EDS을 통해 확인되었다. 상용$Al_2O_3$ 출발 파우더를 사용한 복합체 제조시 1 MHz에서 유전율은 6.7, 유전 손실률은 0.026 이었다. 유전특성의 향상을 위하여 에어로졸데포지션법으로 성막된$Al_2O_3$ -polyimide 복합체 후막의 후속 열처리 결과 유전손실율이 0.026에서 0.007로 감소하였다. 또한 집적회로 기판소재로의 응용을 위한 저온화 제조공정 확립을 위하여$Al_2O_3$ 출발 파우더의 공정 전 열처리 후 상온에서 성막한 경우에도 어떠한 후속 열처리 없이 유전손실률이 0.007로 감소하였다. -
PLZT 박막을 Pt/Ti/
$SiO_2$ /Si 기판 위에 RF-마그네트론 스퍼터링방법으로 형성할 때 기판온도에 따른 PLZT 박막의 결정성과 전기적 특성 및 강유전 특성에 미치는 영향에 관하여 연구하였다. 하부전극 Pt와 PLZT 박막 사이에는 완충층으로$TiO_2$ 를 사용하여 계면에서의 상호확산을 제어하면서 우수한 물성의 PLZT 박막을 얻고자 하였으며, 여러 기판온도에서 PLZT 박막을 증착한 후, 박막의 결정화를 위해 급속열처리법으로$700^{\circ}C$ 로 후열처리하였다. 그 결과 기판온도$400^{\circ}C$ 에서 증착한 PLZT 박막이 가장 우수한 특성을 나타내었으며, 이때의 잔류분극과 누설전류밀도는 각각 15.8${\mu}C/cm^2$ ,$5.4\times10^{-9}A/cm^2$ 이였다. 그러나$500^{\circ}C$ 에서는 결정립 조대화현상이 나타나면서 잔류분극과 누설전류밀도는 9${\mu}C/cm^2$ ,$3.09\times10^{-7}A/cm^2$ 로 특성이 저하되었다. -
We constructed a class I Flextensional transducer, and analyzed the variation of the resonance frequency of the transducer in relation to its design variables. We used the FEM for the analysis. Major axis length, minor axis length, thickness and material properties of the shell have large effects on the resonance frequency. In addition, the validation of the FE model was verified by manufacturing and comparison of the impedance analysis.
-
본 논문에서는 epoxy bonding film의 phenoxy resin의 함량변화에 따른 특성 변화에 대하여 연구하였다. epoxy bonding film은 미세패턴 구현을 위해서 사용되는 기판재료로써 epoxy, hardener, silica, phenoxy resin 등이 첨가되어진다. phenoxy resin 함량을 변화를 주면서 tape casting 방법을 통해서 flim 형성을 한 후, 제작된 film의 phenoxy resin 함량변화에 따른 조도 특성의 연구를 위해서 sweller, desmear 공정을 후 RA(Roughness Average)를 측정하고, SEM으로 표면을 관찰하였다. 또한 제작된 bonding film을 가열 가압 후 구리 도금공정을 거쳐 peel strength를 측정하였다. phenoxy resin 함량이 증가 할수록 RA가 증가되어지는 것이 관찰되어졌고, 또 한 peel strength 증가하였다.
-
We have studied the structural and optical properties of ZnO thin film deposited on glass by RF magnetron sputtering as functions of working pressures. The grain sizes were decreased as the working pressures were increased. The average optical transmissions over all exceeded 80% for ZnO films deposited in 20, 25 and 300m torr working pressures. And the transmission spectra patterns were almost same. While the transmission spectra pattern of ZnO film deposited in 35nm torr was different with other spectra patterns obtained in 20, 25 and 30nm torr working pressures.
-
The coupling between electric, magnetic, and structural order parameters results in the so-called multiferroics, which possess ferroelectricity, ferromagnetism, and/or ferroelasticity. The simultaneous ferroelectricity and ferromagnetism (magnetoelectricity) allow potential applications in information storage, spintronics, and in magnetic or electric field sensors. Perovskite compound
$BiFeO_3$ (BFO) is antiferromagnetic below Neel temperature of 647K and ferroelectric with a high Curie temperature of 1043K. It exhibits weak magnetism at room temperature(RT) due to the residual moment from a canted spin structure. It is likely that non-stoichiometry and second-phase formation are the factors which cause leakage in BFO. It has been suggested that oxygen non-stoichiometry leads to valence fluctuations of Fe ions in BFO, resulting in high conductivity. To reduce the large leakage current of BFO, one attempt is fabricating donor doped BFO compounds and thin films. We report here the successful fabrication of the Nd, Ti co-doped$BiFeO_3$ ceramics and thin films by pulsed laser deposition technique. -
A piezoelectric ultrasonic linear motor shaped with 'Butterfly' wings has been developed for thin electronics such as cellular phone and PDA. The butterfly piezoelectric transducer is simply composed of an elastic plate, which includes a tip for energy transfer and two protrusions to fix it, and two piezoelectric ceramics. Contact materials, such as a brass, and steel and alumina can make it possible to improve dynamic properties of the motors over a wide range of tribological conditions. The dynamic properties of the motor have been intensively measured and analyzed according to the applied voltage wave forms at the various frequencies. The results of numerical study and experimental investigation will be used for the future optimization of the actuator and the realization of the advanced ultrasonic motor.
-
Chemically homogeneous
$Ba_{0.6}Sr_{0.4}TiO_3$ (BST) sols were synthesized using barium acetate, strontium acetate, and titanium isoproxide as starting materials. BST thin films of thickness 340 nm were deposited on Pt/$TiO_2/SiO_2$ /Si and alumina substrates using spin coating method. The technique used for the processing of these films was Ultraviolet (UV) sol-gel photoannealing, using phto-sensitivity precursor solutions and UV-assisted rapid thermal processing(UV-RTP). The crystallization behaviour of the BST sols and thin films was studied by differential thermal analysis (DTA) and X-ray diffraction (XRD). Variation of permittivity and dielectric loss were measured in LCR-meter, model HP 4394A. -
Wet etched ZnO:Al films for thin film solar cells were prepared by Facing Target sputtering(FTS) method. Wet etching has been used to produce a rough TCO surface that enables light trapping in the absorber. The ZnO:Al films for thin film solar cells were etched by HCl 0.5%. The etching performance of ZnO:Al films can be tuned by changing etching time. The etched ZnO:Al films compared to a smooth ZnO:Al thin film structure. From the results, the lowest resistivity of deposited films was
$5.67\times10^{-4}$ [$\Omega$ -cm] and the transmittance of all ZnO:Al thin films were over 80% in visible range. -
The films of Vanadium tungsten oxide,
$V_{1.85}W_{0.15}O_5$ , were grown on Pt/Ti/$SiO_2$ /Si substrate by RF sputtering method. The$V_{1.85}W_{0.15}O_5$ thin films deposited on Pt/Ti/$SiO_2$ /Si substrates by RF sputtering method exhibited fairly good TCR and dielectric properties. It was found that film crystallinity, dielectric properties, and TCR properties were strongly dependent upon the annealing temperature. The dielectric constants of the$V_{1.85}W_{0.15}O_5$ thin films annealed at$300^{\circ}C$ were 55, with a dielectric loss of 1.435, respectively. Also, the TCR values of the$V_{1.85}W_{0.15}O_5$ thin films annealed at$300^{\circ}C$ were about -3.6%/K. -
The
$V_{1.9}W_{0.1}O_5$ thin films deposited on Pt/Ti/$SiO_2$ /Si substrates by RF sputtering method exhibited fairly good TCR and dielectric properties. It was found that film crystallinity, dielectric properties, and TCR properties were strongly dependent upon the annealing temperature. The dielectric constants of the$V_{1.9}W_{0.1}O_5$ thin films annealed at$400^{\circ}C$ were 39.6, with a dielectric loss of 0.255, respectively. Also, the TCR values of the$V_{1.9}W_{0.1}O_5$ thin films annealed at$400^{\circ}C$ were about -3.15%/K. -
The Velocity Autocorrelation Function (VAF) of the sodium ions is calculated for a range of temperature from 250K to 1000K and converted into the linear ac-conductivity and ac-susceptibility response via Fourier transformation. A peak is found in the conductivity around
$6\times10^{12}$ Hz that has some of the character of a Poley absorption. Here it is shown to be due to an harmonically coupled site vibrations of the sodium atoms, which extend only over a limited range. At frequencies below the peak the conductivity tends towards a constant i.e. dc value corresponding to a constant flow of ions through the simulation cell. At high temperatures the conductivity due to this ion transport process behaves like a metal with an insulator to metal transition occurring around a specific temperature. -
We experimentally investigated discharge phenomena inside vacuum interrupter at 1 to 20 Torr to simulate the vacuum leakage. We used glass type of vacuum interrupter where the internal pressure and the type of gasses can be varied according to requirement. The experiment is conducted under ac applied voltage and the experimental circuit is constructed to simulate the actual circuit used in cubical type insulated switchgear. We used two types of gases such as air and
$SF_6$ . The use of glass type vacuum interrupter allowed us to measure discharges occurring in vacuum interrupter optically. We measured and discussed the discharge occurring in both gases with a current transformer and ICCD camera. We a1so revealed that electromagnetic wave spectra emitted by the discharge have same frequency range for both gasses. -
Seo, Choong-Seok;Park, Yong-Seob;Park, Jae-Wook;Kim, Hyung-Jin;Yun, Deok-Yong;Hong, Byung-You 245
In this work, we describe the characterization of PVP films synthesized by spin-coater method and fabricate OTFTs of a bottom gate structure using pentacene as the active layer and polyvinylphenol (PVP) as the gate dielectric on Au gate electrode. We investigated the surface and electrical properties of PVP layer using an AFM method and MIM structure, and estimated the device properties of OTFTs including$I_D-V_D$ ,$I_D-V_G$ , threshold voltage$V_T$ , on/off ratio, and field effect mobility. -
In this work, VOx thin films have been deposited by DC magnetron sputtering method with various DC power. The characterization of the deposited thin films were changed by DC power. The experimental data are obtained on the growth rate and optical and electrical properties of VOx thin films with the increase of DC power. The growth rate. and the surface roughness decrease with DC power. Also, we investigated the electrical and optical properties of VOx thin films using hall measurement, 4-point probe, and UV-visible methods.
-
In this work, VOx thin films have been deposited by DC magnetron sputtering method on glass substrate using argon and oxygen gases. We examined the effects of the post annealing temperature on the structural, optical, and electrical variations of VOx films. The films were annealed at temperatures ranging from 300 to
$500^{\circ}C$ in steps of$100^{\circ}C$ using RTA equipment in air ambient. The thickness of the film and interface between film and substrate were observed by field emission scanning electron microscopy (FESEM). To analysis the structural properties of VOx with various annealng temperatures, we used XRD method. Also, we investigated the electrical and optical properties of VOx thin films using hall measurement, 4-point probe, and UV-visible methods. -
ZnO (Zinc Oxide) thin film can be applied to various devices. Recently, ZnO film has been promoted in transparent TFTs (thin film transistors) because of high transparency and low temperature process. In this paper, ZnO thin films were grown on glass with the three conditions of RF sputtering power, which are 50W, 75W, 100W. Their structural, electrical and optical properties were investigated by using XRD, UV-Visible spectrometer and 4-point probes. In the ZnO film with 50W process, good crystallinity, high transmittance, and high sheet resistance were shown. In conclusion, the ZnO film with 50W can be an optimal channel layer of TFTs.
-
This paper describes the resonant characteristics of polycrystalline SiC micro resonators. The
$1{\mu}m$ thick polycrystalline 3C-SiC cantilevers with different lengths were fabricated using a surface micromachining technique. Polycrystalline 3C-SiC micro resonators were actuated by piezoelectric element and their fundamental resonance was measured by a laser vibrometer in vacuum at room temperature. For the 100 ~$40{\mu}m$ long cantilevers, the fundamental frequency appeared at 147.2 kHz - 856.3 kHz. The$100{\mu}m$ and$80{\mu}m$ long cantilevers have second mode resonant frequency at 857.5 kHz and 1.14 MHz. Therefore, polycrystalline 3C-SiC micro resonators are suitable for RF MEMS devices and bio/chemical sensor applications. -
In this work, appropriate corrugated structure is suggested to increase resonant frequency of resonators. Micro beam resonators based on polycrystalline 3C-SiC films which have a two-side corrugation along the length of beams were simulated by finite element method and compared to a same - size flat rectangular. With the dimension of
$36\times12\times0.5{\mu}m^3$ , the flat cantilever has resonant frequency of 746 kHz. Meanwhile, with this size only corrugation width of$6{\mu}m$ and depth of$0.4{\mu}m$ , the corrugated cantilever reaches the resonant frequency at 1.252 MHz, and is 68% larger than that of flat type. -
The microhotplates consisting of a Pt-ased heating element on AlN/poly 3C-SiC layers were fabricated. The microhotplate has a
$600{\mu}m{\times}600{\mu}m$ square shaped membrane which made of$1{\mu}m$ thick ploycrystalline 3C-SiC suspended by four legs. 3C-SiC is known for excellent chemical durability, mechanical strength and sustaining of high temperature. The membrane is fabricated by surface micromachining using oxidized Si sacrificial layer. The Pt thin film is used for heating material and resist temperature sensor. The fabrication methodology allows intergration of an array of heating material and resist temperature detector. For reasons of a short response time and a high sensitivity a uniform temperature profile is desired. The dissipation of microhotplate was examined by a IR thermoviewer and the power consumption was measured. Measured and simulated results are compared and analyzed. Thermal characterization of the microhotplates shows that significant reduction in power consumption was achieved using suspended structure. -
The
$TiO_2$ Pastes was prepared with the starting materials of$TiO_2$ (P-25), ethyl cellulose, a-terpineol and bis(2-ethylhexyl) phthalate, and this$TiO_2$ paste application for dye-sensitized solar cells (DSSCs) were investigated. In order to improved transparency of$TiO_2$ photoanode films,$TiO_2$ paste was changed ethyl cellulose and a-terpineol contents. The morphology of prepared$TiO_2$ films were investigated by field emission scanning electron microscopy (FE-SEM). The electrochemical properties of the thin films and the performance of DSSCs were measured by photovoltaic-current density and AC impedance. Energy conversion efficiency was obtained about 5.7% at ethyl cellulose and a-terpineol on best mixed ratio under illumination with AM 1.5 ($100mWcm^{-2}$ )simulated sunlight. -
화학 수송법을 이용하여 양질의
$SnO_2$ 박막을 성장 시켰다. 성장 된$SnO_2$ 박막은 계면에서 결합정도가 많은 비정질 출발하여 결함정도가 비교적 작은 단결정된 상태로 성장 되었고, 첨가가스의 양, 챔버 내 압력, 수송 가스의 양, 기판과 박막사이의 열평형 상태 등이 계면의 입자의 크기와 단면의 모양, 박막의 결정성 등에 영향을 주었다. 그리고 성장된 박막의 형태는 성장온도가 높아짐에 따라서$SnO_2$ 박막의 계면부문에서 비정질 부분과 박막 표면의 불분명한 격자 부분이 변화되는 것이 TEM 사진으로 관찰 되었고, 챔버내 압력이 변화됨에 따라서$SnO_2$ 박막 표면의 전도도등이 변화되는 것이 관찰 되었다. -
We report epitaxial growth of ZnO thin films on (100) single-crystalline
$LaAlO_3$ (LAO) substrates using pulsed laser deposition (PLD) at different substrate temperatures (400~$800^{\circ}C$ ). The structural and electrical properties of the films have been investigated by means of X-ray diffraction (XRD), atomic force microscope (AFM), transmission line method (TLM). The poly-crystalline of$\alpha$ - and c-axis oriented ZnO film was formed at lower deposition temperature ($T_s$ ) of$400^{\circ}C$ . At higher$T_s$ , however, the films exhibit single-crystalline of$\alpha$ -axis orientation represented by ZnO[$\bar{1}11$ || LAO <001>. The electrical properties of ZnO thin films depend upon their crystalline orientation, showing lower electrical resistivity values for$\alpha$ -axis oriented ZnO films. -
Hall-type current sensors have been widely used in many fields such as elevator and train system. To estimate lifetime of hall-type current sensors, an accelerated life test with real-time monitoring system simultaneously was designed and performed in high temperature environment with three different temperatures. From the experimental results, activation energy was about 0.9 eV, and acceleration factor was about 450 based on Arrhenius model. As a results,
$B_{10}$ lifetime of hall-type current sensor is estimated to be 65,460 hours. -
The LTCC (Low Temperature Co-fired Ceramic) technology meets the requirements for high quality microelectronic devices and microsystems application due to a very good electrical and mechanical properties, high reliability and stability as well as possibility of making integrated three dimensional microstructures. The wet process, which has been applied to the etching of the metallic thin film on the ceramic substrate, has multi process steps such as lithography and development and uses very toxic chemicals arising the environmental problems. The other side, Plasma technology like ion beam sputtering is clean process including surface cleaning and treatment, sputtering and etching of semiconductor devices, and environmental cleanup. In this study, metallic multilayer pattern was fabricated by the ion beam etching of Ti/Pd/Cu without the lithography. In the experiment, Alumina and LTCC were used as the substrate and Ti/Pd/Cu metallic multilayer was deposited by the DC-magnetron sputtering system. After the formation of Cu/Ni/Au multilayer pattern made by the photolithography and electroplating process, the Ti/Pd/Cu multilayer was dry-etched by using the low energy-high current ion-beam etching process. Because the electroplated Au layer was the masking barrier of the etching of Ti/Pd/Cu multilayer, the additional lithography was not necessary for the etching process. Xenon ion beam which having the high sputtering yield was irradiated and was used with various ion energy and current. The metallic pattern after the etching was optically examined and analyzed. The rate and phenomenon of the etching on each metallic layer were investigated with the diverse process condition such as ion-beam acceleration energy, current density, and etching time.
-
In this study, we investigated the properties of Indium Zinc Oxide (IZO) films prepared in facing targets sputtering (FTS) system at room temperature as function of oxygen contents. As as-deposited films were rapidly thermal annealing on air atmosphere of
$400^{\circ}C$ for 30s. As a result, the transmittance of IZO films increased with increasing oxygen flow in the visible range. After rapidly thermal annealing to films, the optical properties of films improved than films deposited at R.T, but the electrical properties decreased. Before RTA treatment, the lowest resistivity IZO is$5.4\times10^{-4}[\Omega{\cdot}cm]$ at oxygen gas flow. But, after RTA treatment, IZO films have the value of lowest resistivity at the lower oxygen gas ratio in compare with before RTA treatment. The resistivity of IZO films is$7.29\times10^{-4}[\Omega{\cdot}cm]$ at pure argon atmosphere. -
Oh, Se-Man;Jung, Myung-Ho;Park, Gun-Ho;Kim, Kwan-Su;Jo, Young-Hun;Jung, Jong-Wan;Jung, Hong-Bea;Cho, Won-Ju 262
The Metal-Insulator-Silicon (MIS) capacitors with$SiO_2$ and high-k dielectric were investigated. The high-k dielectrics were obtained by atomic layer deposit (ALD) system. The electrical characteristics were investigated by measuring the current-voltage (I-V) characteristics. The conduction mechanisms were analyzed by using the Fowler-Nordheim (FN) plot and Direct Tunneling (DT) plot. As a result, the MIS capacitors with high-k dielectrics have lower leakage current densities than conventional tunnel-barrier with$SiO_2$ dielectrics. -
While the reliability of bulk insulation has become important particularly in multilayer boards and embedded boards, electronics are to be used under various environments such as at high temperature and in high humidity. We observed internal space charge behavior for two types of epoxy composites under dc electric fields to investigate the influence of water at high temperature. In the case of glass/epoxy specimen, homocharge is observed at water-treated specimen, and spatial oscillations become clearer in the water-treated specimens. Electric field in the vicinity of the electrodes shows the injection of homocharge. In aramid/epoxy specimens, heterocharge is observed at water-treated specimens, i.e. negative charge accumulates near the anode, while positive charge accumulates near the cathode. Electric field is enhanced just before each electrode. In order to further examine the mechanism of space charge formation, we have developed a new system that allows in situ space charge observation during ion migration tests at high temperature and high humidity. Using this in situ system.
-
The flashover of insulators in vacuum is the main factor to limit the performance of insulation in vacuum insulation system. It is believed that the pre-flashover phenomena would play a very important role in the procedure of the flashover in the interface between the insulators and vacuum. This paper is mainly concerned on the pre-flashover phenomena of Alumina insulators in vacuum. There are 24 different types of alumina insulators were tested with a 0.7/4
${\mu}s$ pulsed voltage under a$1\times10^{-4}Pa$ vacuum. The observed pre-flashover phenomena were classified and the pre-flashover characteristics were concluded. It is useful to study further on the flashover mechanism in vacuum. -
Charging of spacecraft occurs in plasma and radiation environment. Especially, we focused on an accident caused by internal charging in a glass material that was used as the cover plate of solar panel array, and tried to measure the charge distribution in glass materials under electron beam irradiation by using a PEA (Pulsed Electro-Acoustic method) system. In the case of a quartz glass (pure
$SiO_2$ ), no charge accumulation was observed either during or after the electron beam irradiation. On the contrary, positive charge accumulation was observed in glass samples containing metal-oxide components. It is found that the polarity of the observed charges depends on the contents of the impurities. To identify which impurity dominates the polarity of the accumulated charge, we measured charge distributions in several glass materials containing various metal-oxide components and calculated the trap energy depths from the charge decay characteristics of all glass samples. -
Antimony (6 wt%) doped tin oxide (ATO) films to improve conductivity were deposited on 7059 coming glass by RF magnetron sputtering method for application to transparent electrodes. The ATO film was deposited at a working pressure of 5 mTorr and RF power of 175 W. We investigated the effects of the post-annealing temperature on structural, electrical and optical properties of the ATO films. The films were annealed at temperatures ranging from
$300^{\circ}C$ to$600^{\circ}C$ in step of$100^{\circ}C$ using RTA equipment in vacuum ambient. X-ray diffraction (XRD) measurements showed the ATO films to be crystallized with a strong (101) preferred orientation as the annealing temperature increased. Electrical resistivity decreased significantly with annealing temperatures up to$600^{\circ}C$ . ATO film annealed at temperature of$600^{\circ}C$ showed the lowest resistivity of$5.6\times10^{-3}\Omega$ -cm. Optical transmittance increased significantly with annealing temperatures up to$600^{\circ}C$ . The highest transmittance was 90.8 % in the visible range from 400 to 800 nm. -
Diamond-like carbon (DLC) 박막은 높은 경도, 내 마모성, 화학적 안정성, 전기적 절연성, 높은 광 투과성을 가지고 있어, 공구강, 광학렌즈 및 플라스틱의 보호 코팅을 위해 응용되어진다. 하지만, DLC 박막은 높은 잔류응력으로 adhesion이 떨어진다는 단점이 있다. 따라서 본 연구에서는 전처리가 13.56MHz 150W RF플라즈마 화학기상 증착(RF-PECVD) 법을 통한 DLC 박막의 합성에 어떤 영향을 미치는지 알아보기 위해,
$H_2$ (80 sccm),$O_2$ (10 sccm),$N_2$ (20 sccm)의 다른 가스를 사용하여 전처리를 하였다. DLC 박막 합성 후, 특성은 Raman, scratch test, contact angle 등의 측정을 통하여 분석되었다. -
This paper describes the frequency response of two-port surface acoustic wave (SAW) resonator made of 002-polycrystalline aluminum nitride (AlN) thin film on 111-poly 3C-SiC buffer layer. In there, Polycrystalline AlN thin films were deposited on polycrystalline 3C-SiC buffer layer by pulsed reactive magnetron sputtering system, the polycrystalline 3C-SiC was grown on
$SiO_2$ /Si sample by CVD. The obtained results such as the temperature coefficient of frequency (TCF) of the device is about from 15.9 to 18.5 ppm/$^{\circ}C$ , the change in resonance frequency is approximately linear (30-$150^{\circ}C$ ), which resonance frequency of AlN/3C-SiC structure has high temperature stability. The characteristics of AlN thin films grown on 3C-SiC buffer layer are also evaluated by using the XRD, and AFM images. -
This paper describes on the fabrication and characteristics of a 3C-SiC (Silicon Carbide) micro pressure sensor for harsh environment applications. The implemented micro pressure sensor used 3C-SiC thin-films heteroepitaxially grown on SOI (Si-on-insulator) structures. This sensor takes advantages of the good mechanical properties of Si as diaphragms fabricated by D-RIE technology and temperature properties of 3C-SiC piezoresistors. The fabricated pressure sensors were tasted at temperature up to
$250^{\circ}C$ and indicated a sensitivity of 0.46 mV/V*bar at room temperature and 0.28 mV/V*bar at$250^{\circ}C$ . The fabricated 3C-SiC/SOI pressure sensor presents a high-sensitivity and excellent temperature stability. -
This paper describe the fabrication of a Pd/polycrystalline 3C-SiC schottky diode and its characteristics, in which the polycrystalline 3C-SiC layer and Pd Schottky contact were deposited by using APCVD and sputter, respectively. Crystalline quality, uniformity, and preferred orientations of the Pd thin film were evaluated by SEM and XRD, respectively. Pd/poly 3C-SiC Schottky diodes were fabricated and characterized by I-V and C-V measurements. Its electric current density Js and barrier height voltage were measured as
$2\times10^{-3}$ A/$cm^2$ and 0.58 eV, respectively. These devices were operated until about$400^{\circ}C$ . Therefore, from these results, Pd/poly 3C-SiC Schottky devices have very high potential for high temperature chemical sensor applications. -
Transparent and conducting indium tin oxide (ITO) and ITO/Nickel/ITO(INI) multilayered films were prepared on glass substrates by a magnetron sputtering without intentional substrate heating. The RF(13.56MHz) and DC power were applied to ITO and Nickel target, respectively. The thickness of ITO, Ni and ITO films were kept constantly at 50, 5 and 45 nm. In order to consider the effect of post deposition vacuum annealing in vacuum on the physical and optoeletrical properties of INI films, optical transmittance, electrical resistivity, crystallinity of the films were analyzed. From the observed result, it may conclude that the optoelectrical properties of the INI films were dependent on the post deposition annealing. For the INI films annealed at
$300^{\circ}C$ , the films have a polycrystalline structure with (110), (200), (210), (211) and (300). The resistivity of the films were$4.0\times10^{-4}{\Omega}cm$ at room temperature. As the annealing($300^{\circ}C$ ), resistivity decreased to$2.8\times10^{-4}{\Omega}cm$ . And also the optical transmittance decreased from 79 to 70 % at 550nm. -
Orthorhombic
$LiMnO_2$ (o-$LiMnO_2$ ) has attracted public attentions as a cathode materials of Lithium ion battery because it has low cost and high theoretical discharge capacity of 285mAh$g^{-1}$ . In our study, o-$LiMnO_2$ is synthesized by quenching method. To verify their phase structure, X-ray diffraction is accomplished. Test cells are assembled to check electrochemical characteristics using acquired o-$LiMnO_2$ cathode and carbon anode. Charge/Discharge cycling was carried out for 50cycles. And impedance was measured at 1, 2, 5, 10, 30, 50cycle. During cycle test, the max discharge capacity was recorded 139mAh$g^{-1}$ at 10cycle. -
For the manufacture of the
$CuGaS_2$ , Cu, Ga and S were vapor-deposited in the named order. Among them, Cu and Ga were vapor-deposited by using the Evaporation method in consideration of their adhesive force to the substrate so that the composition of Cu and Ga might be 1 : 1, while the surface temperature having an effect on the quality of the thin film was changed from R.T.[$^{\circ}C$ ] to 150$[^{\circ}C]$ at intervals of 50$[^{\circ}C]$ . As a result, at 400$[^{\circ}C]$ of the Annealing temperature, their chemical composition was measured in the proportion of 1 : 1 : 2. It could be known from this experimental result that it is the optimum condition to conduct Annealing on the$CuGaS_2$ thin film under a vacuum when the$CuGaS_2$ thin film as an optical absorption layer material for a solar cell is manufactured. -
Jung, Tae-Hwan;Kwon, Soon-Il;Park, Seung-Beom;Lee, Seok-Jin;Yang, Kea-Joon;Lim, Dong-Gun;Park, Jae-Hwan 281
최근 높은 비표면적, 우수한 결정성, 나노스케일의 크기 등 다양한 물리 화학적 특성을 지닌 1차원 나노구조체를 이용한 가스센서 연구가 활발히 진행되고 있다. 가스센서는 네트워크 된 나노선들 이용하여 벌크, 박막 보다 극대화된 비표면적으로 가스 감도와 반응 속도를 향상시킬 수 있었다. 촉매 첨가를 위해 Acetylacetone 용액 7 ml에 10 mM이 되도록 Pt 분말을 첨가하여 촉매용액을 제조하였다. 마이크로피펫을 이용하여 미량을 센서의 감응체 부문에 뿌려 대기 중에서 건조한 후 센서의 감도를 측정하였다. 측정은$250^{\circ}C$ 에서 일산화탄소 가스 500 ppm의 가스농도로 촉정하였을 때 촉매가 첨가된 센서가 70% 이상의 개선된 감도를 나타내었다. 이는 나노선에 분산된 촉매에 주입되는 가스가 흡착되고 다시 표면의 산소와 반응하여 전기전도도를 변화시키는 것으로 보인다. 첨가된 촉매에 대한 영향을 분석하기 위해 AES, XRD, FT-IR, TEM 등의 분석을 실시하였다. -
Quasi-ordered arrays of hollow
$SnO_2$ hemispheres were prepared by utilizing the colloidal templating route and RF-sputtering methods. Hollow$SnO_2$ hemispheres with shell thickness of 20nm exhibited an uniform continuity and open porosity, resulting in high gas sensitivity due to enhanced surface area as well as reduced interfacial effects. Multilayered hollow$SnO_2$ hemispheres and hollow$SnO_2$ hemispheres with controlled wall thickness were fabricated by controlling processing steps. -
Kwon, Oh-Jeong;Kim, Dong-Yung;Ryu, Sung-Won;Sohn, Sun-Young;Hong, Woo-Pyo;Kim, Hwa-Min;Hong, Jae-Suk 283
Electrical and optical characteristics of indium tin oxide (ITO) and indium zinc oxide (IZO) films without and with$(SiO_2)_3(ZnO)_7$ at.% (SZO) film deposited on poly(ethylene naphthalate) (PEN) and poly(ethylene terephthalate (PET) substrates as a gas barrier layer for flexible display were studied. The ITO and IZO films with SZO gas barrier layer showed the improved properties which were both the high transmittance of average 80% in the visible light range and the decreased sheet resistance as compared to those of ITO and IZO films without SZO layer. Particularly, the PEN substrate with only SZO gas barrier layer had a low water vapor transmission rate (WVTR) of$\sim10^{-3}g/m^2$ /day. Thus, we suggest that the SZO film with protection ability against the water vapor permeation can be applied to gas barrier layer for flexible display. -
Lee, Kang-Won;Lee, Hyuk-Jin;Park, Hee-Doo;Kim, Jong-Hwan;Shin, Jong-Yeol;Lee, Chung-Ho;Hong, Jin-Woong 285
Recently, epoxy based nano-composites are being increasingly investigated for their electrical properties, since the introduction of nano fillers demonstrate several advantages in their properties when compared with the similar properties obtained for epoxy systems with micrometer sized fillers. We calculated scale and shape parameter using dielectric strength. In this paper, it is investigated that the allowable' breakdown probability of specimens is stable at some value using Weibull statistics. Therefore we found that breakdown probability of specimens is stable until 20 [%]. -
에폭시-층상실리케이트 나노콤포지트의 균질분산과 층상실리케이트내로 침투되는 박리정도를 향상시키기 위해 친환경적 분산기법인 물리적방법으로 Homogenizer와 Power Ultrasonic를 적용한 기법을 실시하였다. Homogenizer의 최적속도를 얻기 위해 분산시킨 나노콤포지트의 박리정도, 유리천이온도를 구할 수 있었고, Homogenizer와 Ultrasonic을 동시에 적용하여 최적시간을 구하기 위해 적용된 나노콤포지트를 절연파괴 강도의 Weibull Plots을 통하여 판단할 수 있는 좋은 결과를 얻을 수 있었다.
-
The purpose of this paper is to analyze AC Breakdown of solid/air composite insulation depending on the thickness and the pressure of dry air for eco-friendly insulation. SF6 gas has been widely used in electric equipment as gas insulation because of high dielectric strength and arc extinguishing performance. However, because SF6 gas is one of the green house effect gases, alternative insulation such as SF6 mixture, extremely low temperature gas, vacuum, liquid and solid insulating are being investigated.
-
Lee, Sung-Ill;Bae, Duck-Kweon;Kim, Min-Ho;Song, Kee-Tae;Lee, Won-Jae;Oh, Yong-Chul;Joo, In-Kyu 291
This study used EPR's sheet and cable as a specimen, and measured residual voltage depending on the induced voltage, thickness of the sheet, and shape of the sheet and cable. The results of the study lead to the following conclusion: 1) The residual voltage increased in proportion to the induced voltage as time increases; 2) The residual voltage of the basic mixed specimen and practical mixed specimen increased as time increased; 3) The peak of the cable appeared earlier than that of the sheet in both of the basic mixed specimen and practical mixed specimen. -
CaO stabilized cubic-
$HfO_2$ is studied by using Density Functional Theory with GGA. When a Ca atom is substituted for a Hf atom, an oxygen vacancy is produced to satisfy the charge neutrality condition. When the oxygen vacancy is located at the first nearest site from the Ca atom, the total energy of$HfO_2$ is the most favorable. We calculate the energy barriers for the oxygen vacancy migration. The energy barriers between the first and the second nearest sites, the second and the third nearest sites, and the third and fourth nearest sites are 0.2, 0.5, 0.24 eV, respectively. The oxygen vacancies at the third and fourth nearest sites from the Ca atom represent the oxygen vacancies in undoped$HfO_2$ . Therefore, the energy barrier for oxygen migration in$HfO_2$ gate dielectricis is 0.24eV, which can explain a leakage origin of gate dielectric. -
Water tree experiments were done for several types of cross-linked polyethylenes. Test method is followed by ASTM D6097. Polyethylene is divided for four subgroup. First One is chemically cross-linked general XLPE, and second one is chemically cross-linked tree-retardant XLPE, and the third one is silane cross-linked polyethylene made by monosil process, and the last one is silane cross-linked polythylene made by copolymer. Tree retardant XLPE shows the shortest water tree length. Cahemcally cross-linked general XLPE shows the longest water tree length. Silane cross-linked polyethylene by copolymer is similar to tree retardant XLPE and similar breakdown strength. So silane cross-linked XLPE by copolymer could be used for the the medium voltage cable which should have tree retardant characteristics.
-
층상실리케이트 나노입자가 충진된 에폭시수지를 분산정도 향상을 위해 즉, 층상실리케이트 층간간경의 삽입과 박를 향상시키기 위해 분산제를 첨가하였고, 초음파 적용으로 분산시켰다. 그 결과 분산제 종류에따라 분산정도인 X-RD 특성이 서로다른 결과를 얻었다. 절연성능을 평가하기위해 단시간 절연파괴강도와 장시간 절연파괴특성인 트리시스템을 이용하여 절연파괴시간 및 측정하였다. 이와같은 결과에대한 통계적인 분석으로 Weibull plots를 이용하였고 그 결과 분산제가 첨가된 나노콤포지트의 기울기 파라미터인
$\beta$ 값의 결과로부터 나노콤포지트 우수성을 확인 할수있었다. -
Images of deposited layers of organic light-emitting diodes observed by scanning-electron microscopeLee, Eun-Hye;Yoon, Hee-Myoung;Han, Wone-Keun;Kim, Tae-Wan;Lee, Won-Jae;Jang, Kyung-Uk;Ahn, Joon-Ho 298
Images of deposited layers of organic light-emitting diodes were observed by scanning-electron microscope (SEM). We were able to see a clear cross-sectional view of deposited layers. The SEM is a type of electron microscope that images the sample surface by scanning it with a high-energy beam of electrons in a raster scan pattern. A thickness of deposited layer measured by thickness monitor is close to a real value measured by a-step surface profiler within 5%. We were able to see a formation of domains of size about 50-100nm from a surface morphology of Al, and pin holes of size about 50nm. -
Lim, Ji-Hun;Oh, Byeong-Yun;Lee, Won-Kyu;Lee, Kang-Min;Na, Hyun-Jae;Park, Hong-Kyu;Seo, Dae-Shik 300
In this study, the advanced DuoPIGatron-type ion beam (IB) system was applied to inorganic thin film for aligning liquid crystal (LC). LC alignment on$Ta_2O_5$ via IB irradiation was embodied. As a result of IB irradiation, the homogeneously aligned liquid crystal display (LCD) on$Ta_2O_5$ was observed with low pretilt angles. The$Ta_2O_5$ were deposited on indium-tin-oxide coated Coming 1737 glass substrates by rf magnetron sputtering at$200^{\circ}C$ . The deposition process resulted in forming very uniform thin film on glass substrates without any defects. To confirm the application of the inorganic alignment on modem display optical devices, we fabricated twisted nematic LCD and measured optical property and response time. As a result of the experiment, the electro optical characteristics of the LCD fabricated by using IB irradiation on$Ta_2O_5$ alignment layer were similar with the other LCD fabricated by using rubbing process. -
Lee, Won-Kyu;Oh, Byoung-Yun;Lim, Ji-Hun;Na, Hyun-Jae;Lee, Kang-Min;Park, Hong-Gyu;Seo, Dae-Shik 301
Ion beam (IB)-induced alignment of inorganic materials has been investigated intensively as it provides controllability in a nonstop process for producing high-resolution displays[1][2]. LC orientation via ion-beam (IB) irradiation on the nitrogen doped diamond like carbon (NDLC) thin film deposited by physical deposition method-sputtering was embodied. The NDLC thin film that was deposited by sputter showed uniform LC alignment at the 1200eV of the ion beam intensity. The pretilt angle of LC on NDLC thin films was measured with various IB exposure time and angle. The maximum pretilt angle were showed with IB irradiation angle of$45^{\circ}$ and exposure time of 62.5 sec, respectively. To show NDLC thin film stability in high temperature, thermal stability test was proceeded. The uppermost of the thermal stability of NDLC thin film was$200^{\circ}C$ . In this investigation, the electro-optical (EO) characteristics of LC on NDLC thin film were measured. -
Nominally undoped (intrinsic) ZnO thin films were deposited by magnetron sputtering system with utilization of substrate bias on silicon at
$450^{\circ}C$ . Oxygen gas was selected as sputtering gas. The deposited thins were evaluated with X-ray diffraction (XRD) for their microstructure analysis and Hall effect in Van der Pauw configuration for their electrical property. The XRD shows that the magnitude and polarity of substrate bias significantly influence the microstructure and electrical properties. -
Al, N-codoped ZnO(ZnO:N,Al) thin films were deposited on n-type Si(100) substrate at
$450^{\circ}C$ with various conditions of ambient gas$(N_2:O_2)$ by DC magnetron sputtering method using ZnO:$Al_2O_3$ (2wt%) as a target, and then were annealed at 500, 700,$800^{\circ}C$ in$N_2$ gas for one hour. XRD patterns showed that all of the ZnO:N,Al thin films annealed at$80^{\circ}C$ grew with two peaks, which means poor crystallinity of the thin films deposited. Hall effects in Van der Pauw configuration proved that after annealing the films deposited showed low resistivity and high carrier concentration. While the films annealed at$800^{\circ}C$ showed low resistivity of$\sim10^{-2}\Omega$ cm and high carrier concentration of$\sim10^{19}cm^{-3}$ . -
본 논문에서는 PRAM 에서 기록매질로 이용될 수 있는 최적의 물질을 찾고자
$Ge_2Sb_2Te_5$ 박막에 Al을 첨가하여 비정질-결정질 천이시의 원자구조와 상변화 특성간의 관계를 연구하였다. 이 실험에 사용된$Al_x(Ge_2Sb_2Te_5)_{1-x}$ 조성은 5N의 금속 파우더를 용융-냉각법으로 벌크를 제작하였고 열증착 방법으로 Si (100) 및 유리 (corning glass, 7059) 기판위에 200nm 두께로 박막을 증착하였다. 비정질 박막의 상변화에 따른 반사도 차이를 평가하기 위해서 658 nm의 LD가 장착된 나노펄스 스캐너를 이용하여 power; 1~17mW, pulse duration; 10~460 ns의 범위에서 각 조성의 비정질-결정질 상변화속도를 측정, 비교 분석하였다. 또한 각각의 박막을$100^{\circ}C$ 에서$400^{\circ}C$ 까지$50^{\circ}C$ 간격으로$N_2$ 분위기에서 1시간동안 열처리 한 후 XRD와 UV-Vis-NIR spectrophotometer를 사용하여 각 상의 구조분석 및 광학적 특성을 분석하였다. 또한 4-point probe로 면저항을 측정하였다. -
전자부품에서 기존에 봉착, 코팅, 결합용 glass frit로 사용되어 왔던 Pb계 glass frit는 낮은 융점을 가지고 있고, 화학적으로도 매우 안정한 특성을 가지고 있다. 그러나 Pb계 glsss frit은 환경에 심각한 문제를 초래하기 때문에 이를 대체하기 위하여 저온에서 소성이 가능한 인산주석계를 기본조성계로 설정하였다. 인산주석계 glass의 취약한 내화학성, 내수성과 SnO의 환원에 의한 결정 석출 등의 문제를 해결하기 위하여
$R_2O_3$ , RO 등을 첨가하여 glass frit의 특성을 향상시키고자하였다. 수분에 취약한$P_2O_5$ 계 glass의$R_2O_3$ 를 첨가하여 항온항습기를 이용하여 흡습성을 측정하고, 내수성에 가장 안정한 특성을 보이는 SnO-$P_2O_5-R_2O_3$ 계 glass 조성에 RO를 첨가하여 RO 첨가량에 따른 내산성과 내알칼리성의 변화를 관찰하였다. SnO-$P_2O_5-R_2O_3$ 계 glass의 RO첨가량에 따른 melting 특성의 변화를 flow-button test를 통해 관찰하였다. SnO-$P_2O_5-R_2O_3$ 계는 RO계의 변화에 따라 전이온도가 증가하고, 열팽창계수가 감소하는 결과를 보였다. -
We have proposed a high performance liquid crystal display using two thin film transistors (TFTs) for the large size TFT-LCD desirably 42inch WXGA panel for TVs. The device generates stronger electric fields to reorient liquid crystals than that in the conventional IPS device because the voltages with opposite polarity with respect to the common electrode are applied to each finger-type electrode. As a result, the operation voltage of 2Tr-IPS mode can be decreased and the transmittance can be increased compared to conventional IPS device. Consequently, the 2Tr-IPS has all the advantages over conventional IPS from large size point of view.
-
Ha, Kyung-Su;Jo, Eun-Mi;Park, Ji-Woong;Kim, Seong-Su;Jung, Jun-Ho;Kim, Min-Su;Kim, Mi-Young;Lee, Myong-Hoon;Lee, Seung-Hee 310
We have studied electro-optical characteristics of fringe-field switching (FFS) mode with high$d{\Delta}n$ according to the electrode position. In this device, the fringe-electric field drives the LCs to rotate so that the dielectric torque is electrode-positional dependent, which results in electrode-position dependency in the LC's rotating angle. We confirmed polarization microscope image and chromaticity diagram at the different electrode position with LC that have high$d{\Delta}n$ . Since the FFS mode is influenced by horizontal and vertical electric field, the FFS mode modulates light using both phase retardation and polarization rotation effect, which had already been verified with previous studies. However, from another point of view, tight modulation of FFS mode has been demonstrated by performing experiment and calculated simulation at the high$d{\Delta}n$ LC cell. -
A transflective liquid crystal displays associated with fringe field switching (FFS) mode of new concept is proposed. The device utilizes unique characteristic of the FFS mode in which the rotation angle of LC director is strongly dependent on electrode position in on state. We use the liquid crystal with negative dielectric anisotropy. Also we are look for optimized electrode size and the optimization of pixel electrode width and distance between them, the LC director could rotate about
$22.5^{\circ}$ and$45^{\circ}$ depending on electrode positions. Consequently, we get high transmittance and high reflection on the optimized electrode condition. Respectively, a high image quality transflective display with single gap and single gamma characteristics realized. -
We have studied a property change of organic light-emitting diodes (OLED)s due to a surface reformation of indium-tin-oxide(ITO) substrate. An ITO is widely used as a transparent electrode in light-emitting diodes, and the OLEDs device performance is sensitive to the surface properties of the ITO. The ITO surface reformation could reduce the Schottky barrier at the ITO/organic interface and increase the adhesion of the organic layer onto the electrode. We have studied the characteristics of OLEDs with a treatment by a wet processing of the ITO substrate. The self-assembled monolayer(SAM) was used for wet processing. The characteristics of OLEDs were improved by SAM treatment of an ITO in this work. The OLEDs with a structure of ITO/TPD(50nm)/
$Alq_3$ (70nm)/LiF(0.5nm)/Al(100nm) were fabricated, and the surface properties of ITO were investigated by using seneral characterization techniques. Self-assembled monolayer introduced at the anode/organic interface gave an improvement in turn-on voltage, luminance and external quantum efficiency compared to the device without the SAM layer. SAM-treatment time of the ITO substrate was made to be 0/10/15/20/25min. The current efficiency of the device with 15min. treated SAM layer was increased by 3 times and the external quantum efficiency by 2.6 times. -
Using an evaporation method,
$SiO_2$ was deposited as a buffer layer between a flexible PET substrate and a ITO film deposited by DC magnetron sputtering and electro-optical properties were investigated with thickness variance of$SiO_2$ layers. After coating a$SiO_2$ layer and a ITO film, the ITO/$SiO_2$ /PET was heated up to$200^{\circ}C$ and the resistivity and the transmittance were measured by hall effect measurement system and UV/VIS/NIR spectroscopy. As a result of depositing a$SiO_2$ buffer layer, the resistivity increased and the transmittance and adhesion property were enhanced than ITO films with no buffer layers and the resistivity was lowered as$SiO_2$ thickness increased from 50$\AA$ to 100$\AA$ . It was found that the transmittance was independent of annealing temperature variance in$150^{\circ}C{\sim}200^{\circ}C$ and the resistivity decreased as the temperature increased and especially decreasing rate of the resistivity was higher as the buffer layer thickness was thinner. So under optimized depositing of$SiO_2$ buffer layers and post-annealing of ITO/$SiO_2$ /PET, ITO films with enhanced adhesion, electro-optical properties can obtained. -
Kim, Mi-Jung;Kang, Sang-Baek;Chae, Young-An;Oh, Dong-Hoon;Yoon, Chang-Sun;Lee, Ki-Jin;Kim, Jin-Tae;Hong, Seung-Soo;Lim, In-Tea;Lee, K.C.;Hong, K.S.;Cha, Deok-Joon 317
Cu-Pc유기물 반도체를 얼 진공증착기술로 유리기판위에 40 nm 두께로 적층하였다. 상온에서 적층한 박막과 상온에서 적층한 후$250^{\circ}C$ 이상의 온도로 후열 처리한 박막과 박막 적층 시 기판의 온도를$250^{\circ}C$ 로 고정하여 적층한 박막들을 상호 비교 분석하였다. 적층된 Cu-Pc의 박막의 온도조건에 따라 X-ray diffraction(XRD)의 결정 특성이$\alpha$ -phase와$\beta$ -phase로 뚜렷이 구분되었으며, 자외선-가시광선 영역의 광 흡수도(UV-visible absorption spectra)와 field emission scanning electron microscopy(FE SEM)를 이용하여 결정성장 방향 및 표면 특성 변화를 비교조사하였다. -
본 연구에서는 대기압하에서 고품질의 산화막 증착을 목적으로 TEOS(Tetraethyl Orthosilicate)를 이용하여 APCVD법(Atmospheric Pressure CVD)으로 실리콘 산화막을 증착하고 하였으며, 특성 비교를 위하여 ICP-CVD를 이용하여
$SiH_4$ 와$N_2O$ source gas를 이용하여 산화막을 증착하였다. 트랜지스터 제작후 Semiconductor measurement system을 이용하여 TFT의 전기적 특성을 측정 하였으며, 결과적으로 유기 사일렌을 사용한 경우 보다 우수한 전기적 특성을 확인할 수 있었다. -
To obtaingood resolution in PDP, one of the important factors is to achieve the accuracy of barrier ribs. The photolithographic process can be used to form patterns of barrier rib with high accuracy and a high aspect ratio. The composition for photolithography is based on the
$B_2O_3-SiO_2-Al_2O_3$ glass system including additives such as alkali oxides and alkali earth oxides. The refractive index and thermal properties in glass system are changed by amount of alkali oxides and alkali earth oxides. Therefore, it is important that additives are controlled to have proper refractive index and thermal properties. The additives are contributed to non-bridging oxygen within the glass network, causing a change of density. In addition to a change of the structural cross-link density, the refractive index, dielectric and thermal properties glass are correlated with ionic radius and polarizability of cations. In this study, we investigated the refractive index and the thermal properties such as glass transition temperature, glass softening temperature and coefficient of thermal expansion by changing composition in the$B_2O_3-SiO_2-Al_2O_3$ glass system. -
Multi layered thin films with ZnS/
$Na_3AlF_6$ /ZnS were deposited on glass substrate by thermal evaporator precess and simulated by using EMP(Essential Macleod Program). EMP is a comprehensive software package to design and analyse the optical characteristics of multi-layered thin film. ZnS and$Na_3AlF_6$ were selected as a high refractive index and low refractive index material respectively. Additionally Cu was chosen as mid reflective material. Optical properties including color effect were systematically studied. in terms of different optical thickness of low refractive index material. The optical thickness of$Na_3AlF_6$ was changed as 0.25, 0.5, 0.75 and$1.0\lambda$ . The film with 0.25, 0.5, 0.75 and$1.0\lambda$ . of optical thickness showed mixed color range between bluish green and red purple, yellowish green and bluish green, purple and mixed color range of green and purple respectively. -
In this Study, We fabricated a plasma discharge cluster with external electrodes which can be applied to flexible backlight in a polymer substrate and investigated the discharge characteristics. The Sealing process was progressed in vacuum chamber, which enable to fabricate plasma discharge cluster. The results of discharge characteristics show that the static memory margin of plasma discharge cluster was increased, as Ne/Xe(5%) gas pressure was increased. also, When gas pressure was 100torr at 600um of electrode gap, we have obtained high luminance of a plasma discharge cluster.
-
갈륨 질화물 (GaN) 기반의 발광다이오드(Light Emitting Diode, LED)는 최근 디스플레이, 교동신호등, 휴대폰용 키패드의 광원 등에 널리 사용되는 전자소자로, 차세대 조명용 광원으로도 각광받고 있다. 일반적인 수평 구조의 LED에 비해 수직형 구조 LED 는 발광면이 n-GaN 표면 전체이며, 전류 확산 특성이 매우 뛰어남으로 인해 차세대 구조라고 표현되어 진다. 이런 구조에서 활성층 영역에서의 균일한 전류 분포는 전류밀집 현상을 억제하여 결과적으로 광학적 특성을 향상시킨다. 따라서 현재까지도 전류확산에 따른 발광다이오드의 성능향상에 대한 연구가 다각도로 이루어지고 있다. 본 연구에서는 수직형 GaN LED 의 전극 패턴에 따른 활성층 영역에서의 전류밀도 분포에 대해 조사하였다. 전극 패턴의 크기 및 구조 변화에 따른 활성층 영역에서의 전류분포도를 삼차원 회로 모델을 이용하여 분석하였다. 또한 활성층 영역으로 주입되는 전류 밀도의 크기가 내부양자효율에 미치는 영향에 대하여 알아보았다. 활성층 영역에서의 균일한 전류밀도 분포를 갖는 전극구조를 설계하였으며, 각각의 전극구조를 적용한 수직형 GaN LED의 전기/광학적 특성에 대해 전산모사 하였다. 최종적으로, n-GaN 위 전극의 크기 및 구조 변화에 대한 시뮬레이션 결과를 토대로, 균일한 전류분포 및 내부 양자효율 향상을 위한 전극패턴 설계 방침을 제안한다.
-
In this study, it was studied about the improved road light design for drivers and pedestrians using ray- or reverse ray-tracing method. Many of conventional road lights are not suitable for drivers and pedestrians because it has some serious problems such as glare effect or randomicity of illuminated areas. It was oriented from customary design method which was pointed at simple target such as luminance or electrical power. But it was not truth any more that the high luminance or electrical power consumption mean more bright and good road light. We studied ray-tracing method for road light reflector design to get the several goals. It means that good road light has easy for drivers and pedestrians eyes and illuminating objects on the road clearly. So, we set the design targets such as uniformity on the road area per one road light, shading angles and continuous luminance uniformity on the long distance road. We designed ideal road light conditions using ray-tracing method. We set the height of drivers and pedestrians eyes and calculated design guideline to make above design targets. Then we designed road light reflector using reverse ray-tracing method. And we achieved same luminance on the road almost half power consumption because we reduced loss of light. We achieved ideal design guide as 75 degrees of shading angles and 0.5 of luminance uniformity on the road area. Finally, we suggested reflector design for 250 watts power consumption CDM light source.
-
Lee, Kang-Min;Oh, Byeong-Yun;Park, Hong-Gyu;Lim, Ji-Hun;Lee, Won-Kyu;Na, Hyun-Jae;Kim, Byoung-Yong;Han, Jeong-Min;Lee, Sang-Keuk;Seo, Dae-Shik 329
To date, rubbing has been widely used to align LC molecules uniformly. Although rubbing can be simple, it has fundamental problems such as the generation of defects by dust and static electricity, and difficulty in achieving a uniform LC alignment on a large substrate. Therefore, noncontact alignment has been investigated. Ion beam induced alignment method, which provides controllability, nonstop process, and high resolution display. In this study, we investigated liquid crystal (LC) alignment with ion beam (IB) that non contact alignment technique on polyimide and electro-optical characteristics of twisted nematic (TN)-liquid crystal display (LCD) on the poly imide under various ion beam angles. In this experiment, Polyimide layer was coated on glass by spin-coating and Voltage-transmittance(VT) and response time characteristics of the TN cell were measured by a LCD evaluation system. The good characteristics of the nematic liquid crystal (NLC) alignment with the ion beam exposure poly imide surface was observed. The tilt angle of NLC on the PI surface with ion beam exposure can be measured under$1^{\circ}4 for all of irradiation angles. In addition, it can be achieved the good ED properties, and residual DC property of the ion beam aligned TN cell on polyimide surface. -
It is widely investigated to liquid crystal (LC) alignment using non-contact alignment method such as ion-beam (IB) irradiation, UV alignment, and oblique deposition. Because conventional rubbing method has some drawbacks. These include defects from dust and electrostatic charges and rubbing scratch during rubbing process. In addition, rubbing method needs additional process to remove these defects. Therefore rubbing-free methods like ion-beam irradiation are strongly required. We studied LC alignment effect on poly imide surface by IB irradiation and electro-optical (EO) characteristics of twisted nematic liquid crystal display (TN-LCD). In this experiment, a good uniform alignment of the nematic liquid crystal (NLC) with the ion-beam exposure on the polyimide (PI) (SE-150 from Nissan Chemical) surface was observed. We also achieved low pretilt angle as a function of ion-beam irradiation intensity. In addition, it can be obtained the good EO properties of the IB-aligned TN-LCD on PI surface. Some other experiments results and discussion will be included in the poster.
-
Transparent conductive oxide (TCO) are necessary as front electrode or anti-reflecting coating for increasing efficiency of LED and Photodiode. In this paper, aluminum-doped Zinc oxide films(AZO) were prepared by RF magnetron sputtering on Si substrate at room temperature with application of substrate bias from -60 to 60 V. Then annealed at temperature of 200, 300 and
$400^{\circ}C$ for 1hr in$H_2$ ambient. Structural and electrical property of AZO thin films were investigated. -
DC magnetron sputtering was used to deposit p-type polycrystalline silicon on n-type Si(100) wafer. The influence of film microstructure properties on deposition parameters (DC power, substrate temperature, pressure) was investigated. The substrate temperature and pressure have the important influence on depositing the poly-Si thin films. Smooth ploy-Si films were obtained in (331) orientation and the average grain sizes are ranged in 25-30nm. The grain sizes of films deposited at low pressure of 10mTorr are a little larger than those deposited at high pressure of 15mTorr.
-
컴퓨터의 발달과 더불어 현대사회는 기록하고 보존해야할 정보의 양이 점점 방대해 지고 있다. 그로 인해 자기기록매체처럼 정보를 사용자의 편의에 따라 반복적으로 기록하고 재생할 수 있는 광기록매체에 대한 관심이 증가되고 있다.
$Ge_2Sb_2Te_5$ (GST)는 기존의 CD-RW나 Floppy Disk(FD)를 대체할 차세대 기록매체로 주목받고 있다. 따라서 본 연구에서는 비정질상과 결정상으로 변하는 성질을 가지고 있는 GST롤 상변화 기록매체로서 이용하기 위해 굴절률을 평가하였다. 시료는 5N의 순도를 갖는 Ge, Sb, Te 물질을 준비하고 조성비에 맞추어서 석영관에 진공 봉입한 후 용융-냉각법으로 벌크를 제작하였고 열증착 방법으로 Si 및 유리 기판위에 1000nm 두께로 박막을 제작하였다. UV-Vis-IR spectrophotometer를 사용하여 반사도와 투과도를 측정하였고 측정한 스펙트럼을 이용하여 Swanepoel method로 굴절률을 계산하였다. 본 연구진이 자체 개발한 계산툴에 실험값을 대입하였고 실험에 의해 얻은 투과도와 계산툴에 의해 얻은 투과도 스펙트럼을 비교하였다. -
The transcription characteristics in the molding of aspheric glass lenses for camera phone modules have been investigated experimentally. The surface topographies of both the form and the roughness were compared between the mold and the molded lens. The molded lens showed a transcription ratio of 93.4%, which is obtained bycomparing the form accuracy (PV) values of the mold and the molded lens. The transcription of the roughness topography was ascertained by bearing ratio analysis.
-
Kim, Min-Su;Park, Ji-Woong;Jung, Jun-Ho;Ha, Kyung-Su;Lim, Young-Jin;Lee, Myong-Hoon;Lee, Seung-Hee 337
We have studied electro-optic characteristics of a high performance liquid crystal display using Fringe In-plane field Switching (FIS) mode. The strong electric fields cause more liquid crystals to reorient almost in plane above and between the pixel electrodes. As a result, the operation voltage is lower and transmittance is higher than those of Fringe Field Switching (FFS) and In-Plane Switching (IPS) modes. Apparently, the transmittance depends on voltage applied at the configurations of FIS mode which are proposed. Therefore, we have studied certain length of between electrodes for maximum transmittance and light intensity. -
Kim, Woo-Il;Kim, Sung-Min;Cho, In-Young;Kim, Mi-Young;Son, Jong-Ho;Ryu, Jae-Jin;Kim, Kyeong-Hyeon;Lee, Seung-Hee 339
The Conventional PVA (patterned vertical alignment) mode showed characteristics of 8-domain using T-T type or C-C type. But these methods have disadvantages such as decreasing aspect ratio and transmittance. In order to resolve these problems, in this paper we have studied a new 8-domain method which is partially using the UV curable reactive mesogen (RM) that is a role in surface stabilization. The characteristic of off-axis color shift is decreased because the part of surface stabilized area is compensated to other area in a pixel. Consequently, the device shows improved color shift by 8-domain. -
Kim, Mi-Young;Kim, Kun-Ji;Kim, Sung-Min;Jo, Eun-Mi;Srivastava, Anoop Kumar;Jung, Jun-Ho;Ji, Seung-Hoon;Lee, Myong-Hoon;Lee, Seung-Hee;Lee, Gi-Dong 341
The dynamics of nano particles in LC medium under an external electric field is of theoretical and technological interest. In this work, the dynamical characteristics of fullerene$(C_{60})$ particles in liquid crystal (LC) medium under dc electric field have been investigated. This effect was studied for homogeneously aligned nematic LC cells driven by in-plane field. The$C_{60}$ was found to be aggregated in a form of cluster inside the LC medium. Hence polystytene was used to protect the aggregation of$C_{60}$ in LC medium. When the electric field was applied, the fullerenes start to move in direction of applied electric field. The density of$C_{60}$ 's particles at the electrodes increase with increase in the value of applied electric field. The dynamical motions of fullerene$(C_{60})$ particles in liquid crystal (LC) suggest that fullerene can be designed for electrophoretic displays (i.e., electronic ink). -
A surface micromachined uncooled microbolometer based on the amorphous silicon was designed, fabricated, and characterized. We designed the microbolometer with a pixel size of
$44\times44{\mu}m^2$ and a fill factor of about 50 % ~ 70% by considering such important factors as the thermal conductance, thermal time constant, the temperature coefficient of resistance, and device resistance. Also, we successfully fabricated the microbolometer by using surface MEMS technology. Finally, we investigated responsivity and detectivity properties depends on the active area size. -
Joo, Hyun-Woo;An, Hui-Chul;Na, Su-Hwan;Kim, Tae-Wan;Jang, Kyung-Wook;Oh, Hyun-Suk;Oh, Yong-Chul 345
We have studied an emission spectra of top-emssion organic light-emitting diodes(TEOLED) due to a change of cathode and organic layer thickness. Device structure is Al(100nm)/TPD(xnm)/$Alq_3$ (ynm)/LiF(0.5nm)/cathode. And two different types of cathode were used; one is LiF(0.5nm)/Al(25nm) and the other is LiF(0.5nm)/Al(2nm)/Ag(30nm). While a thickness of hole-transport layer of TPD was varied from 35 to 65nm, an emissive layer thickness of$Alq_3$ was varied from 50 to 100nm for two devices. A ratio of those two layer was kept to be about 2:3. Al and Al/Ag double layer cathode devices show that the emission spectra were changed from 490nm to 560nm and from 490nm to 560nm, respectively, when the total organic layer increase. Full width at half maximum was changed from 67nm to 49nm and from 90nm to 35nm as the organic layer thickness increases. All devices show that view angle dependent emission spectra show a blue shift. Blue shift is strong when the organic layer thickness is more than 140nm. Devece with Al/Ag double layer cathode is more vivid. -
Park, Book-Sung;Kim, Sung-Woon;Jung, In-Sung;Lee, Seon-Gu;Son, Sung-Il;Lee, Jee-Myun;Kim, Eun-Tae;Kim, Chul-Ju 347
The main goal of this work is advances in 1.0mm$\times$ 0.5mm light emitting diode using AlInGaN cell structure and display module. In the first place, we proposed$200{\mu}m{\times}200{\mu}m$ cell structure using AlInGaN. Secondly, we describe new type 1.0mm$\times$ 0.5mm blue and white LED fabrication procedure and results of an examination include mobile application. -
In the structure of ITO/N,N'-diphenyl-N,N' bis (3-methylphenyl)-1,1'-biphenyl-4,4'-diamine(TPD) /2,9-Dimethy 1-4,7-diphenyl-1,10-phenanthroline (BCP)/tris (8-hydroxyquinoline)aluminum
$(Alq_3)$ /Al device, we studied the efficiency improvement of organic light-emitting diodes due to thickness variation of BCP materials used for a electron breaking layer. The thickness of TPD and$Alq_3$ was manufactured 40 nm, 60 nm, respectively under a base pressure of$5\times10^{-6}$ Torr using a thermal evaporation. The TPD and$Alq_3$ layer were evaporated to be at a deposition rate of 2.0 A/s. The BCP was evaporated to be at a deposition of 1.0 A/s. When the thickness of BCP increased from 5 to 30 nm, we found that the luminous efficiency and the external quantum efficiency is superior to the others when the thickness of BCP is 20 nm. Compared to the ones from the devices made without BCP, the luminous efficiency and the external quantum efficiency was improved by 57 %, 70%, respectively. -
A new high efficient red PhOLED using a host of
$Bebq_2$ and double dopants of$(pq)_2$ Ir(acac) and SEC-R411 have been fabricated and evaluated. The device doubly doped with$(pq)_2$ Ir(acac) and SFC-R411 showed the current efficiency improvement of 22% under a luminance of 10000 cd/$m^2$ in comparision with the device singly doped with SFC-R411. The luminance, current efficiency and central wavelength of the doubly doped device were 9300 cd/$m^2$ at 7V, 11.1 cd/A under a luminance of 10000 cd/$m^2$ and 625 nm, respectively. -
Carbon nanotubes (CNTs) are attractive for field emitter because of their outstanding electrical, mechanical, and chemical properties. Several applications using CNTs as field emitters have been demonstrated such as field emission display (FED), backlight unit (BLU), and X-ray source. In this study, we fabricated a CNT cathode using transparent ultra-thin CNT film. First, CNT aqueous solution was prepared by ultrasonically dispersing purified single-walled carbon nanotubes (SWCNTs) in deionized water with sodium dodecyl sulfate (SDS). To obtain the CNT film, the CNT solution in a milliliter or even several tens of micro-litters was deposited onto a porous alumina membrane through vacuum filtration process. Thereafter, the alumina membrane was solvated by the 3 M NaOH solution and the floating CNT film was easily transferred to an indium-tin-oxide (ITO) glass substrate of
$0.5\times0.5cm^2$ with a film mask. The transmittance of as-prepared ultra-thin CNT films measured by UV-Vis spectrophotometer was 68~97%, depending on the amount of CNTs dispersed in an aqueous solution. Roller activation, which is a essential process to improve the field emission characteristics of CNT films, increased the UV-Vis transmittance up to 93~98%. This study presents SEM morphology of CNT emitters and their field emission properties according to the concentration of CNTs in an aqueous solutions. Since the ultra-thin CNT emitters prepared from the solutions show a high peak current density of field emission comparable to that of the paste-base CNT emitters and do not contain outgassing sources such as organic binders, they are considered to be very promising for small-size-but-high-end applications including X-ray sources and microwave power amplifiers. -
Recently, due to the tremendous growth of media technology, demands of the aspheric glass lens which is a high-performance and miniaturized is gradually increasing. Generally, the aspheric glass lens is manufactured by GMP(Grass Molding Press) method using WC(tungsten carbide) mold core. In this study, the thermal deformation which occurs in the cooling step of GMP was considered, and it was compensated the form of mold core. The lens which was molded by compensated mold core was satisfied that can be applied to the actual specifications.
-
In this work, ZnO films doped with different contents of Indium (0.1at.%, 0.3at.%, 0.6at.%, respectively) were deposited on Si (111) substrate that has 1~20
$\Omega$ cm by pulsed laser deposition (PLD) at$600^{\circ}C$ for 30min. The thickness of the films are about 250 nm. The structural, optical and electrical properties of the films were investigated using X-ray Diffraction (XRD), Atomic force microscope (AFM), Photoluminescence (PL) and Hall measurement. It has been found that RMS of the films is decreased and grain size is increased with increasing the contents of doped Indium. The results of the Photoluminescence properties were indicated that the films have UV emission about 380nm and shows a little red shitf with increasing contents of doped indium. The result of the Hall measurement shows that the concentration and resisitivity in doped ZnO are as changing as one order, respectively${\sim}10^{18}/cm^2$ ,${\sim}10^{-2}cm{\Omega}cm$ . -
에피성장된 Fe/GaAs 적층구조에서의 스핀 주입 실험을 하였다. Fe와 GaAs 사이에 Schottky tunnel barrier를 형성시키기 위하여 높게 도핑된 GaAs 층을 channel 층 위에 성장하였다. 스핀전달에 의한 chemical potential 차이만을 검출하기 위해서 전압 측정 단자 사이에 전류 흐름이 포함되지 않는 non-local 측정방법을 사용하였다. 그 결과 두 강자성 전극이 반평행한 구간에서 dip이 나타나는 것을 확인할 수 있었다.
-
The heat generated in the high-Tc superconducting (HTS) devices is related with the cost efficiency and safe factor of HTS devices. This paper deals with the quench at the conduction-cooled joint between the HTS wire and copper terminals. The 3-D numerical simulation of this phenomenon was implemented and compared with the experimental results. The experiment was implemented with the HTS wire mounted on the copper blocks cooled with a Gifford McMahon (GM) cryocooler.
-
Steelmaking industry is widely known to use a lot of water and same amount of wastewater is generated. Although toxicity of wastewater from Steelmaking industry is low, it contains an amount of various organic materials and Fe-Oxides. It is important to recycle the wastewater because of water shortages and water pollution. In general, large-scale equipment is necessary to process the wastewater. On the other hand, superconducting high gradient magnetic separation (HGMS) system can process the wastewater in the small space. Superconducting HGMS system that had a purpose to purify the wastewater was assembled. Cryo-cooled Nb-Ti superconducting magnet was used for magnetic separator. This system can operate continuously because contaminated filters can keep on returning after cleaning. The various magnetic seeding reactions were investigated to increase the reactivity of coagulation. Filter cleaning system was developed to decrease the quantity of clean water. This research was supported by a grant from Korea Electrotechnology Research Institute, Republic of Korea.
-
Electrical and Mechanical Characteristics for Filled Contents Variation of Epoxy-SiO2 Nanocomposites에폭시 메트릭스에
$10{\pm}5nm$ SiO2 입자를 함량별 (1,3,5,7,9wt%)로 혼합하여 초음파 및 균질기를 이용한 분산을 실시하여 나노콤포지트를 제조하였다. 엉킴이 있는 나노입자를 물리적분산법을 이용하여 분산시킬 수 있었고, 그 결과 여러특성을 연구하였다. 기계적 굴곡강도 특성은 나노입자 충진함량 증가에따라 증가되는 경향을 나타내었고, 무충진 에폭시수지에 비하여 상대적으로 높은 굴곡강도를 나타내었다. 나노입자의 충진함량 증가에따라 절연파괴강도는 1wt%에서 가장 높는 절연파괴강도를 기록하였고 함량증가에 따라 약간 감소되는 경향을 보이고 있다. 이는 여러 연구자의 경향과 대체로 유사함을 알 수 있었다. -
The development of dry etching process for sapphire wafer with plasma has been key issues for the opto-electric devices. The challenges are increasing control and obtaining low plasma induced-damage because an unwanted scattering of radiation is caused by the spatial disorder of pattern and variation of surface roughness. The plasma-induced damages during plasma etching process can be classified as impurity contamination of residual etch products or bonding disruption in lattice due to charged particle bombardment. Therefor, fine pattern technology with low damaged etching process and high etch rate are urgently needed. Until now, there are a lot of reports on the etching of sapphire wafer with using
$Cl_2$ /Ar,$BCl_3$ /Ar, HBr/Ar and so on [1]. However, the etch behavior of sapphire wafer have investigated with variation of only one parameter while other parameters are fixed. In this study, we investigated the effect of pressure and other parameters on the etch rate and the selectivity. We selected$BCl_3$ as an etch ant because$BCl_3$ plasmas are widely used in etching process of oxide materials. In plasma, the$BCl_3$ molecule can be dissociated into B radical,$B^+$ ion, Cl radical and$Cl^+$ ion. However, the$BCl_3$ molecule can be dissociated into B radical or$B^+$ ion easier than Cl radical or$Cl^+$ ion. First, we evaluated the etch behaviors of sapphire wafer in$BCl_3$ /additive gases (Ar,$N_2,Cl_2$ ) gases. The behavior of etch rate of sapphire substrate was monitored as a function of additive gas ratio to$BCl_3$ based plasma, total flow rate, r.f. power, d.c. bias under different pressures of 5 mTorr, 10 mTorr, 20 mTorr and 30 mTorr. The etch rates of sapphire wafer,$SiO_2$ and PR were measured with using alpha step surface profiler. In order to understand the changes of radicals, volume density of Cl, B radical and BCl molecule were investigated with optical emission spectroscopy (OES). The chemical states of$Al_2O_3$ thin films were studied with energy dispersive X-ray (EDX) and depth profile anlysis of auger electron spectroscopy (AES). The enhancement of sapphire substrate can be explained by the reactive ion etching mechanism with the competition of the formation of volatile$AlCl_3$ ,$Al_2Cl_6$ or$BOCl_3$ and the sputter effect by energetic ions. -
배선용 차단기(MCCB)는 신속한 고장전류 차단과 전원시스템의 안정성을 확보하기 위해 배선시스템에 폭넓게 사용되고 있다. 배선용 차단기(MCCB)는 과부하 및 단로 등의 이상 상태시 전류를 차단하는 기구로, 오작동 시에는 중대한 사고를 초래한다. 따라서 배선용 차단기에 대한 보호성능의 항상, 신뢰성의 향상의 시장요구에 부응하기 위해 본 논문에서는 소호부 형상에 따른 차단성능을 파악하고 이를 통하여 성능향상을 이루고자 3차원 유한요소 프로그램(MAX-WELL)을 이용한 자계해석을 통해 차단성능 평가를 하였다. 이를 통하여 배선용 차단기의 소호부 설계시 차단성능 검증과 제품의 소형화 및 고성능화를 이끌고자 한다.
-
SF6 widely used as insulating gas is rising as the environment problem. For decreasing this greenhouse gas, electrical breakdown characteristics of vacuum with air are studied in non-uniform field. The gap of needle to plane was 0.5mm, 0.8mm. The pressure of vacuum the range of 10^-4-10^-5torr. The diameter of a plane made of the stainless steel is150mm. As a result of the experiment, the breakdown voltage is increased about electrode gap distance increased. The electrode material influenced breakdown voltage in vacuum.
-
Ion implantation has been widely developed during the past decades to become a standard industrial tool. To comply with the growing needs in ion implantation, innovative technology for the control of ion beam parameters is required. Beam current, beam profile, ion fractions are of great interest when uniformity of the implant is an issue. Especially, it is important to measure the spatial distribution of beam power and also the energy distribution of accelerated ions. This energy distribution is influenced by the proportion of mass for ion in the plasma generator(ion source) and by charge exchange and dissociation within the accelerator structure and also by possible collective effects in the neutralizer which may affect the energy and divergence of ions. Hydrogen atom has been the object of a good study to investigate the energy distribution. Hydrogen ion sources typically produce multi-momentum beams consisting of atomic ion (
$H^+$ ) and molecular ion ($H_2^+$ and$H_3^+$ ). In the beam injector, the molecular ions pass through a charge-exchanges gas cell and break up into atomic with one-half (from$H_2^+$ ) or one-third (from$H_3^+$ ) according to their accelerated energy. Burrell et al. have observed the Doppler shifted lines from incident$H^+$ ,$H_2^+$ , and$H_3^+$ using a Doppler shift spectroscopy. Several authors have measured the proportion of mass for hydrogen ion and deuterium using an ion source equipped with a magnetic dipole filter. We developed an ion implanter with 50-KeV and 20-mA ion source and 100-keV accelerator tube, aiming at commercial uses. In order to measure the proportion of mass for ions, we designed a filter system which can be used to measure the ion fraction in any type of ion source. The hydrogen and helium ion species compositions are used a filter system with the two magnets configurations. -
This paper describes the electric field distribution of high voltage polymer bushing with inner field shaper designs. The field control can be achieved by means of the designs of such internal field shaper. But high electric stress occurred between field shaper and central conductor by the closely space. In accordance, the floating and ring shield designs was importance for electric stress grading at critical parts of the bushing. The bushing has a central conductor, and internal ring shield or floating shield, gaps are formed between field shaper and ring shield. Accordance equipotential lines extend through gaps. Maxwell 2D simulator based on the boundary element method was also introduced in order to verify the reliability of the polymer bushing.
-
본 논문은 새롭게 초음파 분산기법을 이용하여 제조된 나노콤포지트 와 원형에폭시 수지에 대한 전기적 특성인 트리현상의 여러특성을 연구하였다. 나노필러인 Layered Silicate Particles가 에폭시수지 중에 Power Ultrasonic으로 분산된 나노콤포지트를 제조하였다. 충진된 혼합물에서 나노입자의 영향을 조사하기위해 열적, 구조적 특성을 연구하였고, 장시간 절연파괴 특성을 조사하기위해 침대평판 전극으로 원형에폭시수지와 나노콤포지트와 비교 측정하였다. 연구는 에폭시원형수지에 대한 인가전압레벌(교류 10, 15, 20kV)의 변화와 온도변화에 대한 (30,90,
$130^{\circ}C$ )의 트리특성을 연구하였다. 모든 전압레벨에서는 일정전압까지 1kV/s 로 승압 후 일정하게 인가되었고, 파괴에 이를 때까지 측정한 결과 10kV, 15Kv, 20KV의 경우 1042,75,488분후에 파괴에 이르렀다. 그러나 트리진행속도는 인가전압이 높을수록 빠르게 진행하였다. 온도 변화에 대한 트리특성으로서 15kV인가 후 파괴에 이르는 시간은 30,90,$130^{\circ}C$ 의 경우 75.3, 970, 226분으로$90^{\circ}C$ 의 경우 절연성능이 가장 우수하였고, 트리진전속도는$30^{\circ}C,130^{\circ}C,90^{\circ}C$ 순으로 나타났다. 이는 트리진전으로 파괴에 이르는 시간과 속도는 트리형태에 지배적으로 영향을 맡고 있음을 알 수 있었다. 또한 나노콤포지트 트리의 경우 15kV인가시 10902에 파괴에 이르렀고, 트리진전속도는 0.000729mm/min으로 원형에 비하여 53.36배의 트리진전시간이 느리고, 파괴시간은 145배 오래 견디는 절연내력을 측정할 수 있었다. -
In this paper the arc behavior in spiral type vacuum interrupter was analyzed by observing taken photographs from high-speed digital camera(10000frame/second) and current-voltage waveform from oscilloscope. As a result, the influence of twisting angle between contacts on arc behavior could be analyzed by matching and comparing arc voltage and photographs simultaneously.
-
저압계동의 고장전류를 차단하기 위해서, 기중차단기(ACB), 배선용차단기(MCCB) 등을 사용하고 있는데, 저압차단기는 저압계통의 고장전류를 차단할 수 있으나, 고장전류를 효과적으로 제한하지 못하며, 차단기 내부의 아킹시간이 상대적으로 길므로, 저압차단기는 물론 주변 전력기기에 전기적/열적/기계적 스트레스를 주게 된다. 또한 지속적인 부하의 증가로 인해 저압계통의 단락전류는 점점 증가하는 추세에 있으므로 저압계통은 물론 고압계통에서도 고장전류를 보다 빠르고 효과적으로 제한 및 차단을 할 수 있는 한류형 차단기가 제안되고 있다. 저압계통의 경우, 정온도계수(Positive Temperature Coefficient, PTC) 특성을 가지는 한류소자를 기존 차단기에 직렬 혹은 병렬로 연결하여 저압계동의 고장전류를 매우 빠르고 효과적으로 제한 및 차단하는 추세이다. 본 연구에서는 정온도계수 특성을 가지는 소자를 이용하여 소형 저압차단기의 차단용량 향상에 기여할 수 있는지 검증하였다.
-
Recently, composite hollow bushings have been increasingly employed mainly from the various characteristics. Composite bushings are superior to porcelain bushings in several respects, including lighter weight, better anti-pollution and anti-explosion properties, and easer manufacturing. Filament wound GRP tubes which have various winding angle were manufactured by using a filament winding machine. This paper will show some design issues and winding condition for composite bushing. And, results show that the winding condition of composite GRP tubes can be used to improved their bending strength and pressure, For bending and pressure tested, tubes with the hybrid winding pattern show higher strength than those of unit winding pattern. Also, the influence of absorption was evaluated through such as measurement of the dye penetration test and water diffusion test, also aspects of surface state using scanning electron microscopy.
-
This paper consider the condition monitoring technology of electrical machine in metro substation need for efficient and effective management and diagnosis. Developing management system using condition monitoring system is very competitive field, and still to a great extent seen as an unnecessary cost. There are several approaches to maintenance management in urban transit such as reliability-centered maintenance, availability-target maintenance and preventive maintenance to advanced approaches involving condition monitoring techniques. In this paper we give a brief introduction to condition-based management and diagnosis in metro substation and which management system satisfying various demanding in railway.
-
In this paper we presented experimental results of a gas sensor utilizing multi-walled carbon nanotube (MWNT)composites for the alcohol detection which is useful to checking drinking and driving, for example. The MWNT-composites were deposited using spray method on PES substrates suitable for use in low-cost and flexible sensors. We observed the variation of conductance from the sensors exposed to alcohol vapors evaporated at 37C equal to the human body temperature to match real condition. As the result, the conductance was decreased with the increase of ethanol vol% diluted in water. The sensors showed good sensitivity and linearity.
-
그라핀 기판 제작을 위해서는 그라파이트의 탈착이 가장 핵심 기술이다. 본 연구에서는 신뢰성 있는 그라핀 기판 제작을 위해서, HOPG(Highly Ordered Pyrolytic Graphite) 기판에 고농도의 이온을 주입하고, HOPG를 이형기판에 본딩한후, 후속 열처리를 통해 HOPG를 탈착시켜 그리핀을 얻는 일련의 기본 실험에 대한 결과를 보여 주고자 한다. 기대하는 효과는 고농도의 수소/산소 이온의 경우 주입된 고농도의 수소/산소가 후속 열처리동안 이동 및 뭉침현상을 통해 HOPG기판 내에 수소압력(혹은 CO2 발생)을 증가시켜 HOPG를 자르는 것을 기대하고 있다. 일차 수소이온 주입의 실험결과, 기대와는 달리
$900^{\circ}C$ 열처리에도 절단현상이 발견되지 않아서 산소이온주입에 대한 추가실험을 진행 중이다. 그라핀 본딩의 경우 그라핀의 큰 roughness로 인해$SiO_2$ 만의 Fusion 본딩은 불가능함을 여러 실험을 통해 알 수 있었고, 현재 SiO2/SOG 혹은 SiO2/Fox를 이용한 본딩실험을 진행중이다. -
In this paper, a simple dual band filter chip is designed with 0603 case size using IPD technology. The dual-band filter achieves high frequency band at 2.5 GHz and low frequency band at 1.8 GHz. The insertion losses in high frequency band and low frequency band are -0.195 dB and -0.146 dB, respectively. The return losses in these bands are -22.7 dB and -22.8 dB, respectively. The simple dual-band filter based on SI-GaAs substrate is designed within die size of about 1.3
$mm^2$ . -
This paper presents the design approach and test results of the Q-band precision subminiature coaxial adaptor based on transmission line theory using multi-step impedance and air-holes to increase its cutoff frequency. In order to increase the frequency performance, the adaptor is designed with hooked structure, fixing step, multi-air-holes, and outer conductor. The return loss increments due to the hooked structure and multi air-holes are minimized to 2 dB and 1.5 dB, respectively. A VSWR(Voltage Standing Wave Ratio) of <1.2 is obtained from DC to 40 GHz, while guaranteeing the durability of the adaptor from room-temperature
$(25^{\circ}C)$ to$120^{\circ}C$ . -
We fabricated organic field-effect transistors (OFETs) based a fluorinated copper phthalocyanine (
$F_{16}CuPc$ ) as an active layer. And we observed the surface morphology of the$F_{16}CuPc$ thin film. The$F_{16}CuPc$ thin film thickness was 40nm, and the channel length was$50{\mu}m$ , channel width was 3mm. We observed the typical current-voltage (I-V) characteristics and capacitance-voltage (C-V) in$F_{16}CuPc$ FET and we calculated the effective mobility. -
최근 나노 금속의 대량 생산에 대한 기술이 확보됨에 따라, 메탈젯을 이용한 연구가 활발히 진행되고 있다. 메탈젯의 연구 범위는 RFID, PCB, MLCC 전극, 태양전지전극, PDP 전극, EMC용재료 등 그 응용 범위를 넓혀 가고 있다. 이러한 응용 기술 대표적인 배선형성 기술인 PCB 제조에 대한 연구는 40um 이하의 고해상도 기판 개발을 요구하고 있다. 선폭은 40um 이하를 유지하면서, 두께는 10um 이상으로 CCL을 대체 하기 위한 기판 형성 기술은 응용기술은 가장 어려운 난이도의 기술이다. 메탈젯 기술은 매우 복합적인 연구분야로 나노 재료의 개발, 인쇄공정의 개발, 기재 표면처리 기술, 헤드 기술의 개발을 동시에 만족할 때 가능하다. 배선 형성을 위하여 나노 잉크를 이용하여 직접 인쇄를 진행하고, 소결하여 전도성을 얻게 된다. 본 연구에서는 미세노즐에 토출 가능한 잉크젯용 잉크 조성을 결정하고, 기판과의 신뢰성을 확보하기 위하여 접착력의 평가, 전도도의 평가, 건조 시간 조절을 통한 Crack 문제 해결, 미세 선폭의 균일성 조절에 관한 실험을 진행하였다.
-
나노크기의 반도체 물질은 표면적/부피 비와 그 크기에 의해 광학적, 전기적 특성이 크게 영향을 받는다. 나노크기의 반도체 재료 중 ZnO는 3.37eV의 넓은 에너지 밴드갭을 가지고 있으며, 60meV의 큰 엑시톤(exciton) 결합에너지의 특성을 가지고 있어 UV 영역의 소스로서 가장 활용도가 클것으로 예상된다. 1 차원 ZnO 나노구조는 청색과 자외선 발광소자 및 광전자 소자, 화학적 센서로 활용이 가능하다. whisker, nanowires, norods, nanonail, nanoring 등과 같은 ZnO 나노구조의 형태와 크기는 합성장비와 공정조건에 크게 영향을 받고 서로 다른 광 특성 결과를 나타낸다. ZnO 나노구조의 합성을 위해 다양한 금속 촉매를 이용한 기상-액상-고상(VLS)의 성장 메카니즘이 연구되었다. 그러나 이 방법은 촉매로 사용된 금속이 불순물로 작용하는 결점을 가지고 있다. 최근에는 기판위에 아무런 촉매도 사용하지 않은 ZnO 의 합성에 대해 많은 연구가 진행되고 있다. 그러나 촉매없이 합성된 나노구조의 형태와 성장방향은 초기단계에서 불규칙한 원자배열로 인해 합성상태의 제어 (방향, 형상 등)가 매우 어렵다. MOCVD 장비 금속 촉매를 이용하지 않고도 미량의 Zn 와
$O_2$ 량을 일정하게 조절함으로써 형상 및 방향성을 제어 할 수 있다는 장점을 가지고 있다. 또한 본 연구에 사용된 MOCVD 장비의 경우 추가적인 케리어 가스 유입을 통해 나노막대의 aspect ratio 조절이 가능하다. 본 연구는 MOCVD 장비를 이용해 촉매를 사용하지 않고 1 차원 ZnO 나노막대를 합성하였고, 추가적 케리어 가스 유량을 변화시킴으로써 형태 변화 및 발광특성에 관한 영향을 연구하였다. -
Kim, Kyung-Wook;Choi, Eun-Chang;Park, Yong-Seob;Kim, Hyung-Jin;Yun, Deok-Yong;Hong, Byung-You 393
Carbon nanotubes are attractive nano-structured materials because of their remarkable electronic, physical, chemical properties. Due to these reasons, application researches of CNTs are actively processed on the display, the electronic element, the nano-diode fields and the semiconductor element. Today, The major issue of semiconductor technique are via and interconnects. CNTs are used to make via and interconnects because of high electric currents density and high heat transfer. Control of the orientation of grown CNTs is very important thing for making via and interconnects. Via are horizontal growth of CNTs and interconnects are vertical growth of CNTs. This research is based on the experiment using control of gas flow directions and DC bias. Scanning Electron Microscope (SEM) was used to check this experiment. -
Water-assisted synthesis of carbon nanotubes (CNTs) has been intensively studied in recent years, reporting that water vapor enhances the activity and lifetime of metal catalyst for the CNT growth. While most of these studies has been focused on the supergrowth of CNTs at high temperature, rarely has the similar approach been made for the CNT synthesis at low temperature. Since the metal catalyst are much less active at lower temperature, we expect that the addition of water vapor may increase the activity of catalyst more largely at lower temperature. We synthesized multi-walled CNTs at temperature as low as
$360^{\circ}C$ by introducing water vapor during growth. The water addition caused CNTs to grow ~3 times faster. Moreover, the water-assisted growth prolonged the termination of CNT growth, implying the enhancement of catalyst lifetime. In general, a thinner catalyst layer is likely to produce smaller-diameter, longer CNTs. In a similar manner, the water vapor had a greater effect on the growth of CNTs for a smaller thickness of catalyst in this study. To figure out the role of process gases, CNTs were grown in the first stage and then exposed to each of process gases in the second stage. It was shown that water vapor and hydrogen did not etch CNTs while acetylene led to the additional growth of CNTs even faster in the second stage. As-grown CNTs were characterized by scanning electron microscopy (SEM), high-resolution transmission electron microscopy (HRTEM), atomic force microscopy (AFM), and Raman spectroscopy. -
투명 전도성 탄소나노튜브(carbon nanotube, CNT) 필름을 터치스크린이나 디스플레이 소자 등의 전극에 응용할 목적으로, CNT 필름의 전기저항 및 광 투과도를 향상시키기 위한 연구가 활발히 진행되고 있다. 본 연구에서는 단일벽 CNT (single-walled CNT)를 여러 가지 계면활성제로 분산시킨 수용액으로부터 제조한 CNT 필름을 산 처리하여 저항 및 투과도의 변화를 관찰하였다. 우선 계면활성제로 분산시킨 CNT 수용액을 알루미나 재질의 필터에서 정량적으로 진공 필터링하여 CNT 필름을 제조하였다. 알루미나 필터를 sodium hydroxide (NaOH) 수용액으로 용해시켜 제거함으로써 얻은 CNT 필름을 유리기판 위에 부착시킨 후 광 투과도와 먼 저항 (sheet resistance)을 측정하였다. CNT 필름을 질산 (
$HNO_3$ ) 용액에 처리하였을 때 투과도는 1~5 % 향상되었으며, 면 저항은 계면활성제로 분산시킨 CNT 필름 대부분에서 감소하였다. 이는 CNT 표면에 코팅되어 있던 계면활성제들이 산에 의해 제거되었기 때문일 것으로 추측된다. 특히 sodium dodecylbenzene sulfonate (NaDDBS)로 제조한 CNT 필름의 경우, 질산을 처리 전에는 투과도 83%, 면 저항 450$\Omega$ /sq.의 특성을 보였으나, 처리 후에는 각각 86 %, 350$\Omega$ /sq.로 향상되었다. Polyvinyl pyrrolidone (PVP)과 cetyltrimethylammonium bromide (CTAB)를 사용하여 제조한 CNT 필름의 면 저항이 가장 뚜렷한 감소를 보였다. 제조된 필름과 삼 처리된 필름 특성을 Raman spectroscopy, scanning electron microscopy, UV-Vis spectroscopy 등을 이용하여 분석하였고, 4-point probe로 면 저항을 측정하였다. -
This paper performed the basic study for fabricating the low level laser therapy apparatus, and one of the goals of this paper was to make this apparatus used handily. The apparatus has been fabricated using the 655nm laser diode and microprocessor unit. The apparatus used a 655 nm laser diode for laser medical therapy and was designed for a pulse width modulation type to increase stimulation effects. And then, each experiment was performed to irradiation group and non-irradiation group for cells. MTT assay method was chosen to verify the cell increase of two groups and the effect of irradiation on cell proliferation was examined by measuring 590nm transmittance of ELISA reader. As a result, the cell increase of cells was verified in irradiation group as compared to non-irradiation group.
-
Synthesis and characterization of ZnO structure such as nanowires, nanorods, nanotube, nanowall, etc. have been studied to multifunctional application such as optical, nanoscale electronic and chemical devices because it has a room-temperature wide band gap of 3.37eV, large exiton binding energy(60meV) and various properties. Various synthesis methods including chemical vapor deposition (CVD), physical vapor deposition, electrochemical deposition, micro-emulsion, and hydrothermal approach have been reported to fabricate various kinds of ZnO nanostructures. But some of these synthesis methods are expensive and difficult of mass production. Wet chemical method has several advantage such as simple process, mass production, low temperature process, and low cost. In the present work, ZnO nanorods are deposited on ITO/glass substrate by simple wet chemical method. The process is perfomed by two steps. One-step is deposition of ZnO seeds and two-step is growth of ZnO nanorods on substrates. In order to form ZnO seeds on substrates, mixture solution of Zn acetate and Methanol was prepared.(one-step) Seed layers were deposited for control of morpholgy of ZnO seed layers by spin coating process because ZnO seeds is deposited uniformly by centrifugal force of spin coating. The seed-deposited samples were pre-annealed for 30min at
$180^{\circ}C$ to enhance adhesion and crystallinnity of ZnO seed layer on substrate. Vertically well-aligned ZnO nanorods were grown by the "dipping-and-holding" process of the substrates into the mixture solution consisting of the mixture solution of DI water, Zinc nitrate and hexamethylenetetramine for 4 hours at$90^{\circ}C$ .(two-step) It was found that density and morphology of ZnO nanorods were controlled by manipulation of ZnO seeds through rpm of spin coating. The morphology, crystallinity, optical properties of the grown ZnO nanostructures were carried out by field-emission scanning electron microscopy, high-resolution electron microscopy, photoluminescence, respectively. We are convinced that this method is complementing problems of main techniques of existing reports. -
전기영동형 전자종이 디스플레이의 새로운 소재로써
$C_{60}$ (fullerene)와 같은 나노 입자를 포함하는 새로운 전기영동 입자를 제조하였다. 본 연구에서는 안정제로 poly(vinyl pyrrolidone)(PVP)를 사용하여 fullerene을 포함하는 styrene emulsion을 안정화 한 후 라디칼 중합을 통해 fullerene이 포함된 polystyrene microemulsion particles을 제조 합으로써 입자의 분산안정성을 높이고 전기영동에 따른 입자의 움직임을 최적화하도록 하였다. 이 실험에서는 fullerene의 양에 따라 제조된 입자의 크기와 입자 분포를 관찰하였다. 입자의 크기와 입자 분포는 주사형 전자현미경 (SEM) 을 이용하여 확인하였다. 또한 fullerene-PS 입자의 구조 분석과 특성평가를 위해서 FT-IR를 측정하였고, 입자의 열적 성질을 위해 TGA를 측정하였다. -
화학 수송법을 이용하여 양질의
$SnO_2$ 박막을 성장 시켰다. 성장 된$SnO_2$ 박막은 수송가스 변화에 따라 양자의 크기 형태와 결정성, 박막내부의 결함과 전기 전도도, 산소결합과 광발광 등이 변화된 특징을 가졌지만 Sn과 O의 성분비는 계면부터 박막의 표면까지 화학 양론적으로 일정한 비를 가졌다. 그리고 입자의 크기와 결정성은 가스유입랑에 따라 변화 되었으며, 박막의 전기저항과 HALL 캐리어도 수송가스 따라서 변화됨이 관찰 되었고, 박막 내$SnO_2$ 광 발광의 피크도 변화가 되었다. -
This article reports changes in the mechanical properties of chromium steel after nitrogen implantation at high temperature. The samples are implanted with 120keV N-ion at doses ranging from
$1\times1080$ to$4\times1080ions/cm^2$ and at substrate temperature ranging from 25 to$400^{\circ}C$ . Nano-hardness and AES(Auger electrons spectroscopy) were measured from nitrogen ion implanted layer. The sliding wear and impact wear properties of the implanted samples were also measured. The results revealed that the hardness and mechanical properties of ion implanted samples depend strongly on the ion doses and implantation temperature. The hardness of the nitrogen implanted sample with 120keV,$4\times10^{18}ions/cm^2$ ,$335^{\circ}C$ was measured to be approximately 20 GPa, which is approximately 5 times higher than that of un-implanted sample (H=3.8 GPa). Also, the sliding wear and impact wear properties of nitrogen implanted samples were greatly improved. Detailed experiment results will be presented. -
In this work, we investigated the effect of the functionalized SWNT-polymer composites for increasing sensitivity and imparting selectivity to nanotube sensors. To do this, CNT -based gas sensors were fabricated with two types of dispersed SWNT solution involving different polymer resin of TEOS (Tetraethyl orthosilicate) or MTMS (Methyl trimethoxysilane) which is blended to adhere to substrate well. As the surfaces of TEOS and MTMS surrounding SWNTs remain functionalized to -OH and
$-CH_3$ groups respectively after hardening, gas adsorption will be affected differently according to the type of gases. In the experiment, we examined the response of electrical conductance for alcohol vapour gas. As the result, the conductance in the sensors using TEOS decreased considerably while that of MTMS was nearly invariable. -
For this study, Yttrium aluminum garnet (YAG) particles doped
$Eu^{3+}$ ions were prepared via the combustion process using the 1:1 ratio of metal ions to reagents. The characteristics of the synthesized nano powder were investigated by means of X-ray diffraction (XRD), Scanning Electron Microscope (SEM), and photoluminescence (PL). The various YAG peaks, with the (420) main peak, appeared at all sintering temperature XRD patterns. The YAG phase crystallized with results that are in good agreement with the JCPDS diffraction file 33-0040. The SEM image showed that the resulting YAG:Eu powders had larger sizes with the increse in the sintering temperature. The grain size was about 50nm at$1000^{\circ}C$ . The PL intensity of$Eu^{3+}$ has the line peaks of 598, 610, 632nm and has main peak at 591nm. -
In this paper the power divider is realized using the IPD processes for 900/1800 MHz; the designed power divider achieved the isolation of more than -24 dB. the insertion loss of nearly -3.5 dB, and the return loss of about -25 dB. The simple dual-band power divider based on SI-GaAs substrate is realized within the die size of about
$2.5\times2mm^2$ . -
Organic field-effect transistors (OFETs) are of interest for use in widely area electronic applications. We fabricated a copper phthalocyanine (CuPc) based field-effect transistor with different metal electrode. The CuPc FET device was made a top-contact type and the substrate temperature was room temperature. The source and drain electrodes were used an Au and Al materials. The CuPc thickness was 40nm, and the channel length was
$50{\mu}m$ , channel width was 3mm. We observed a typical current-voltage (I-V) characteristics in CuPc FET with different electrode materials. -
Shin, An-Seob;Kim, Jeom-Sik;Ok, Dae-Yool;Jeong, Gi-Ho;Park, Chang-Sik;Heo, Cheol-Ho;Lee, Kum-Ro 412
ENIG(Electroless Nickel Immersion Gold)is a surface treatment method that is used most widely at fine pitch's SMT and BGA packaging process. ENIG has good diffusion barrier of Ni against solder and good wettability due to Au finish. But when the discoloration occurred on the Au finish of ENIG, some key characteristics related to the quality and reliability of PCB such as bondability, solderability and electrical flowing of packaging process could be deteriorated. In this paper, we have performed the water dip test ($88^{\circ}C$ purified water) which accelerates the galvanic corrosion of Ni diffused from the Ni-P layer. That is, the excessive oxidation of the Ni layer could result in non-wetting of the solder because the flux may not be able to remove excessive oxides. Though Au discoloration have been reported to be caused by Ni oxides in many literature, it is still open to verify and discuss The microstructures and chemical compositions have been investigated using FE-SEM, TEM, FIB, EDS and XPS. As a result, authors have found that the Au discoloration in ENIG type is severely caused by the oxidation of the Ni and the mechanism of Au discoloration can be confirmed through the experiment result of water dip test. -
최근 잉크젯, 스크린, 그라비아 등 기존의 인쇄 방식과 인쇄 기술을 이용하여 저가의 전자회로 혹은 전자 소자를 제조하고자 프린팅 소재 및 공정 개발에 대한 산업계의 관심이 증가하고 있다. 특히 PCB, RFID, 디스플레이, 태양전지 분야의 전극재료의 개발에 많은 연구가 진행 중에 있으며, 다양한 인쇄 방법 중 미세회로의 구현이 가능한 잉크젯 프린팅을 통한 전극 형성방법에 주목하고 있다. 본 연구는 잉크젯 프린팅 방식을 통해 배선을 형성하고자 이에 적합한 다양한 농도의 잉크를 배합하여 평가하였으며, 첨가제 및 소결, 건조 조건의 변화를 통해 기재와의 부착력, 배선의 크랙을 조절하였다.
-
Vertically well-aligned Ga-doped ZnO nanorods with different Ga contents were grown by thermal evaporation on a ZnO template. The Ga-doped ZnO nanorods synthesized with 50 wt % Ga with respect to the Zn content showed maximum compressive stress relative to the ZnO template, which led to a rapid growth rate along the c-axis due to the rapid release of stored strain energy. A further increase in the Ga content improved the conductivity of the nanorods due to the substitutional incorporation of Ga atoms in the Zn sites based on a decrease in lattice spacing. The p-n diode structure with Ga-doped ZnO nanorods, as a n-type, displayed a distinct white light luminescence from the side-view of the device, showing weak ultraviolet and various deep-level emissions.
-
수분을 첨가한 열화학기상증착으로
$850^{\circ}C$ 에서 길게 수직 성장한 다중벽 탄소나노튜브를 합성하였다. 실리콘 웨이퍼에 열 증착기로 Al 15 nm를 입히고 그 위에 촉매 층으로 Fe 0.5 nm 를 증착한 기판을 사용하였다. 탄소나노튜브의 성장에는 분위기 가스로 Ar을, 성장 가스로$C_2H_2$ 를 사용하였다. 이들 가스를 이용한 합성 중에 약 100 ppm 전후의 수분을 첨가함으로써 탄소나노튜브의 성장 길이를 10 배 가량 증가시켰다. 이것은 합성 중의 수분 첨가로 인해 금속촉매 입자들의 활동성이 증가하였기 때문이다. 수분의 첨가량를 달리하여 합성한 탄소나노튜브의 길이와 정렬도를 관찰하기 위해 주사전자현미경 (scanning electron microscopy, SEM)을 이용하였고, 탄소나노튜브의 정확한 지름과 벽의 개수를 파악하기 위해 투과전자현미경 (transmission electron microscopy)을, 결정성을 파악하기 위해 Raman 분광기를 사용하였다. -
Cheon, Min-Woo;Kim, Seong-Hwan;Park, Yong-Pil;Lee, Ho-Shik;Kim, Young-Pyo;Kim, Tae-Gon;Lee, Hee-Keb 416
This paper performed the basic study for developing the Photodynamic Therapy Equipment for medical treatment. We developed the 4channel light medical therapy apparatus for external injury cure using a LED light source. This equipment was fabricated by using microcontroller, and designed to enable us to control light irradiation timer, intensity and reservation. In this paper, the designed device was used to find out how 470nm LEDs light source affects the skin wound of RAT. In the experiment,$1cm_2$ wounds on the External injury of RAT were made. Light irradiation RAT and none light irradiation RAT divided, each RAT was irradiated one hour a day for 15 days. In result, compared with none light irradiation RAT, the lower incidence of inflammation and faster recovery was shown in light irradiation RAT. -
The electrochemical etching of silicon in HF-based solutions is known to form various types of porous structures. Porous structures are generally classified into three categories according to pore sizes: micropore (below 2 nm in size), mesopore (2 ~ 50 nm), and macropore (above 50 nm). Recently, the formation of macropores has attracted increasing interest because of their promising characteristics for an wide scope of applications such as microelectromechanical systems (MEMS), chemical sensors, biotechnology, photonic crystals, and photovoltaic application. One of the promising applications of macropores is in the field of MEMS. Anisotropic etching is essential step for fabrication of MEMS. Conventional wet etching has advantages such as low processing cost and high throughput, but it is unsuitable to fabricate high-aspect-ratio structures with vertical sidewalls due to its inherent etching characteristics along certain crystal orientations. Reactive ion dry etching is another technique of anisotropic etching. This has excellent ability to fabricate high-aspect-ratio structures with vertical sidewalls and high accuracy. However, its high processing cost is one of the bottlenecks for widely successful commercialization of MEMS. In contrast, by using electrochemical etching method together with pre-patterning by lithographic step, regular macropore arrays with very high-aspect-ratio up to 250 can be obtained. The formed macropores have very smooth surface and side, unlike deep reactive ion etching where surfaces are damaged and wavy. Especially, to make vertical microwire or nanowire arrays (aspect ratio = over 1:100) on silicon wafer with top-down photolithography, it is very difficult to fabricate them with conventional dry etching. The electrochemical etching is the most proper candidate to do it. The pillar structures are demonstrated for n-type silicon and the formation mechanism is well explained, while such a experimental results are few for p-type silicon. In this report, In order to understand the roles played by the kinds of etching solution and mask patterns in the formation of microwire arrays, we have undertaken a systematic study of the solvent effects in mixtures of HF, dimethyl sulfoxide (DMSO), iso-propanol, and mixtures of HF with water on the structure formation on monocrystalline p-type silicon with a resistivity with 10 ~ 20
$\Omega{\cdot}cm$ . The different morphological results are presented according to mask patterns and etching solutions. -
In this work, we report several experimental data capable of evaluating the phase transition characteristics of (InTe)x(GeTe)y (x = 0.1, 0.3, y =1) pseudo-binary thin films. (InTe)x(GeTe)y phase change thin films have been prepared by thermal evaporator. The crystallization characteristics of amorphous (InTe)x(GeTe)y thin films were investigated by using nano-pulse scanner with 658 nm laser diode (power : 1~17 mW, pulse duration : 10~460 ns) and XRD measurement. It was found that the crystalline speed of In-Ge-Te thin films are faster than
$Ge_2Sb_2Te_5$ [1] and also the crystalline temperature is higher. Changes in the optical transmittance of as-deposited and annealed films were measured using a UV-VIS-IR spectrophotometer and four-point probe was used to measure the sheeresistance of InGeTe films annealed at different temperature. -
Generally, the polymer thick-film resistors for embedded organic or hybrid substrate are patterned by screen printing so that the accuracy of resistor pattern is not good and the tolerance of resistance is too high(
${\pm}$ 20~30%). To reform these demerits, a method using Fodel$^{(R)}$ technology, which is the patterning method using a photosensitive resin to be developable by aqueous alkali-solution as a base polymer for thick-film pastes, was recently incorporated for the patterning of thermosetting thick-film resistor paste. Alkali-solution developable photosensitive resin system has a merit that the precise patterns can be obtained by UV exposure and aqueous development, so the essential point is to get the composition similar to PSR(photo solder resist) used for PCB process. In present research, we made the photopatternable resistor pastes using 8 kinds of epoxy acrylates and a conductive carbonblack (CDX-7055 Ultra), evaluated their developing performance, and then measured the resistance after final curing. To become developable by alkali-solution, epoxy acrylate oligomers with carboxyl group were prepared. Test coupons were fabricated by patterning copper foil on FR-4 CCL board, plating Ni/Au on the patterned copper electrode, applying the resistor paste on the board, exposing the applied paste to UV through Cr mask with resistor patterns, developing the exposed paste with aqueous alkali-solution (1wt%$Na_2CO_3$ ), drying the patterned paste at$80^{\circ}C$ oven, and then curing it at$200^{\circ}C$ during 1 hour. As a result, some test compositions couldn't be developed according to the kind of oligomer and, in the developed compositions, the measured resistance showed different results depending on the paste compositions though they had the same amount of carbonblack. -
본 연구에서, 금 촉매가 4nm 증착된 GaN/
$Al_2O_3$ 기판위에 nanowire와 nanowall과 같은 ZnO 나노구조물을 화학기상증착법을 이용하여 합성시켰다. 합성된 ZnO 나노구조물의 형상은 성장시간과 성장온도 조작을 통하여 제어하였다. 합성된 ZnO 나노구조물의 협상을 관찰하기 위해, 전계방출 주사전자현미경을 측정하였다. ZnO 나노구조물은 성장 온도가$1000^{\circ}C$ 에서$1100^{\circ}C$ 로 증가함에 따라 불균일한 막, nanowire, nanowall 형태로 형상이 점차적으로 변하였으며, 또한 각각의 성장온도에서 성장 시간이 증가함에 따라 나노와이어의 성장이 두드러지게 나타났다. 또한 합성된 ZnO 나노구조물의 결정성과 광학특성을 X-ray diffraction pattern과 상온 photoluminescence spectrum을 이용하여 각각 분석하였다. 이룰 통하여 합성된 ZnO 나노구조물은 wurzite 결정구조를 가지며, 380nm 영역에서 near band edge emission 에 의한 발광 peak와 500~550nm 영역에서 deep level emission에 의한 발광 peak이 나타나는 것을 확인하였다. -
One dimensional (1D) nanostructures, including nanowires, nanorods, nanobelts, and nanotubes, have been the focus of current research on nanotechnology because of their fundamental significance in chemistry, physics, materials science and engineering, and potential applications in nanoelectronics. We have synthesized Te-rich
$Sb_xTe_{1-x}$ nanowires and nanotubes via thermal evaporation method under vapor-solid mechanism. The physical morphology and chemical composition of the fabricated nanowires and nanotubes were investigated by scanning electron microscopy (SEM), transmission electron microscopy (TEM), and energy dispersive X-ray spectroscopy (EDX). -
Dye-sensitized Solar Cell (DSC) is a new type of solar cell by using photocatalytic properties of
$TiO_2$ . The electric potential distribution in DSCs has played a major role in the operation of such cells. Models based on a built-in electric field which sets the upper limit for the open circuit voltage(Voc) and/or the possibility of a Schottky barrier at the interface between the mesoporous wide band gap semiconductor and the transparent conducting substrate have been presented.$TiO_2$ thin films were deposited on the FTO substrate by Nd:YAG Pulsed Laser Deposition(PLD) at room temperature and post-deposition annealing at$500^{\circ}C$ in flowing$O_2$ atmosphere for 1 hour. The structural properties of$TiO_2$ thin films have investigated by X-ray diffraction(XRD) and atomic force microscope(AFM). Thickness of$TiO_2$ thin films were controlled deference deposition time and measurement by scanning electron microscope(SEM). Then we manufactured a DSC unit cells and I-V and efficiency were tested using solar simulator. -
Bismuth-antimony-telluride based thermoelectric thin film materials were prepared by metal organic vapor phase deposition using trimethylbismuth, triethylantimony and diisopropyltelluride as metal organic sources. A planar type thermoelectric device has been fabricated using p-type
$Bi_{0.4}Sb_{1.6}Te_3$ and n-type$Bi_2Te_3$ thin films. Firstly, the p-type thermoelectric element was patterned after growth of$4{\mu}m$ thickness of$Bi_{0.4}Sb_{1.6}Te_3$ layer. Again n-type$Bi_2Te_3$ film was grown onto the patterned p-type thermoelectric film and n-type strips are formed by using selective chemical etchant for$Bi_2Te_3$ . The top electrical connector was formed by thermally deposited metal film. The generator consists of 20 pairs of p- and n-type legs. We demonstrate complex structures of different conduction types of thermoelectric element on same substrate by two separate runs of MOCVD with etch-stop layer and selective etchant for n-type thermoelectric material. Device performance was evaluated on a number of thermoelectric devices. To demonstrate power generation, one side of the device was heated by heating block and the voltage output was measured. The highest estimated power of 1.3mW is obtained at the temperature difference of 45K. We provide a promising approach for fabricating thin film thermoelectric generators by using MOCVD grown thermoelectric materials which can employ nanostructures for high thermoelectric properties. -
Blend membranes were prepared by solvent casting method from sulfonated fluorinated poly(arylene ether)s (SDFF) and chemically modified polyvinylidene fluoride (mPVdF) in isopropanol and were evaluated as proton exchange membrane electrolytes in PEMFC.
$^1H$ -NMR, differential scanning calorimeter and thermogravimetric analysis was utilized to characterize the structure of the blend membranes (SDFF/mPVDF) and effects of mPVDF content on the properties of the membrane such as water uptake and proton conductivity were also investigated. -
We have studied design and application about an self generation equipment for underground power transmission cable. The split CT(Current Transformer), which has the applicable underground power transmission cable, was manufactured through electromagnetic simulation of magnetic core. And manufactured the AC-DC converter that supplied stable DC power for PLC modem when current of power line has more than 150A. An self generation equipment using the CT and AC-DC converter get into operation the PLC modem consistently. As a result, the underground power transmission cable was showed the application possibility through the stable communication and network characteristics.
-
Li
$[Ni_{1/2}Co_{1/2}]O_2$ powder were synthesized from co-precipitation spherical metal oxide,$[Ni_{1/2}Co_{1/2}](OH)_2$ . The preparation of metal hydroxide was significantly dependent on synthetic conditions, such as pH, amount of chelating agent, stirring speed, etc. The optimized condition resulted in$[Ni_{1/2}Co_{1/2}](OH)_2$ , of which the particle size distribution was uniform and the particle shape was spherical, as observed by scanning electron microscopy. Calcination of the uniform metal hydroxide with LiOH at higher temperature led to a well-ordered layer-structured Li$[Ni_{1/2}Co_{1/2}]O_2$ , as confirmed by X-ray diffraction pattern. Also these materials have${\alpha}-NaFeO_2$ ($R\bar{3}m$ ) structure. Due to the homogeneity of the metal hydroxide,$[Ni_{1/2}Co_{1/2}](OH)_2$ , the final product, Li$[Ni_{1/2}Co_{1/2}]O_2$ , was also significantly uniform, i.e., the average particle size was of about 10 to 15${\mu}m$ in diameter and the distribution was relatively narrow. As a result, the corresponding tap-density was also high approximately 2.41$gcm^{-3}$ , of which the value is comparable to that of commercialized$LiCoO_2$ . -
Kim, Sung-Chul;Lee, Young-Seok;Han, Kyu-Min;Moon, In-Yong;Kwon, Tae-Young;Kyung, Do-Hyun;Kim, Young-Kuk;Heo, Jong-Kyu;Yoon, Ki-Chan;Yi, Jun-Sin 430
Using multi plasma enhanced chemical vapor deposition system (Multi-PECVD), p-a-Si:H deposition layer as a$p^+$ region which was annealed by laser (Q-switched fiber laser,$\lambda$ = 1064 nm) on an n-type single crystalline Si (100) plane circle wafer was prepared as new doping method for single crystalline interdigitated back contact (IBC) solar cells. As lots of earlier studies implemented, most cases dealt with the excimer (excited dimer) laserannealing or crystallization of boron with the ultraviolet wavelength range and$10^{-9}$ sec pulse duration. In this study, the Q-switched fiber laser which has higher power, longer wavelength of infrared range ($\lambda$ = 1064 nm) and longer pulse duration of$10^{-8}$ sec than excimer laser was introduced for uniformly deposited p-a-Si:H layer to be annealed and to make sheet resistance expectable as an important process for IBC solar cell$p^+$ layer on a polished n-type Si circle wafer. A$525{\mu}m$ thick n-type Si semiconductor circle wafer of (100) plane which was dipped in a buffered hydrofluoric acid solution for 30 seconds was mounted on the Multi-PECVD system for p-a-Si:H deposition layer with the ratio of$SiH_4:H_2:B_2H_6$ = 30:120:30, at$200^{\circ}C$ , 50 W power, 0.2 Torr pressure for 20 minutes. 15 mm$\times$ 15 mm size laser cut samples were annealed by fiber laser with different sets of power levels and frequencies. By comparing the results of lifetime measurement and sheet resistance relation, the laser condition set of 50 mm/s of mark speed, 160 kHz of period, 21 % of power level with continuous wave mode of scanner lens showed the features of small difference of lifetime and lowering sheet resistance than before the fiber laser treatment with not much surface damages. Diode level device was made to confirm these experimental results by measuring C-V, I-V characteristics. Uniform and expectable boron doped layer can play an important role to predict the efficiency during the fabricating process of IBC solar cells. -
HIT Solar Cell은 단결정 실리콘 웨이퍼가 초박막 amorphos 실리콘 층으로 싸여있는 구조이다. HIT Solar Cell에서 amorphos 실리콘의 두께와 도핑 농도는 태양전지의 효율을 결정하는 매우 중요한 요인이다. 본 논문에서는 높은 효율을 갖는 태양전지 설계를 위해 AFORS HET 프로그램을 이용하여 TCO_a-Si:H(p)_a-Si:H(i)_c-Si(n)_Al 구조를 설계했다. 후에 a-Si:H(p)의 두께와 a-Si:H(i) 의 두께를 가변하며 효율을 측정하였고, p-i-n 구조에서 n+ 층을 추가함에 따라 변하는 효율을 측정하였다. 최적화 한 결과
$V_{oc}$ = 693mV,$J_{sc}$ = 3891mA/$cm^{-2}$ , FF = 8363%,$E_{ff}$ = 22.55% 의 고효율을 얻었다. -
Until now, many studies have been carried out on
$TiO_2$ electrode, counter electrode, sensitizer and electrolyte to improve dye-sensitized solar cell(DSSC)'s performance. It was known that surface area of the$TiO_2$ are of paramount importance in determining the cell efficiency. In this experiment,$TiO_2$ working electrodes were sintered at four different temperatures (400, 450, 500 and$550^{\circ}C$ ) for 55 minutes in ambient atmosphere. Also these electrodes were sintered at four different times (40, 55, 70, 85minutes) in temperature where shows the highest efficiency. I-V characteristics of DSSC made up of different working electrodes were studied using solar simulator. -
Dye-sensitized Solar Cells(DSSCs) which convert incident sun light into electricity were expected to overcome global warming and depletion of fossil fuels. And it is one of study that is lately getting into the spotlight because manufacturing method is more simple and inexpensive than existing silicon solar cells. In this respect, DSSCs are in the limelight as the next generation solar cells. DSSCs are generally composed of a dye-modified
$TiO_2$ photoelectrode, a Pt counter electrode, and an electrolytes containing a redox couple$(I^-/I_3^-)$ . Among these elements, pt electrode were prepared by applying electric potential to FTO substrate in the$H_2PtCl_6$ solution. In this study, we report the solar cell efficiency depending on$PtCl_4$ concentration change.$PtCl_4$ concentration was 1mM, 5mM, 10mM, and 20mM, and adhered on FTO glass substrate by sintering process. When applied each$PtCl_4$ counter electrode on DSSC, the best efficiency was found at 10mM of$PtCl_4$ concentration. The catalyst promotes the movement of electron from the counter electrode to the electrolyte the higher the molarity, the better the efficiency. However, in case of 20mM, it is estimated that over-deposited$PtCl_4$ tends to restrict the movement of electron due to its bundle formation. -
박막태양전지에서 p-layer, i-layer, n-layer의 thickness와 doping concentration은 가장 기본이 되는 요소이다. 각 layer에서 위 두 가지 요소를 ASA simulator를 이용해서 높은 효율을 갖는 박막태양전지를 설계하기 위해 조절하였다. Simulation결과 p-layer의 thickness는
$9.5*10^{-9}m$ , doping concentration은 0.2eV, i-layer의 thickness는$4.535*10^{-7}m$ , n-layer의 thickness는$2*10^{-8}m$ , doping concentration 은 0.1eV에서 최종 11.48%의 효율을 얻을 수 있었다. 본 연구를 통하여 높은 효율의 박막태양전지 설계 시에 도움이 될 수 있을 것이다. -
An inductive coupler, which feeds communication to the electric power transmission line, is required to establish Power Line Communication(PLC). The electro-magnetic property of magnetic core and design technology for coupler are very important to manufacture an inductive coupler for power transmission line. The magnetic core with superior electro-magnetic property was manufactured by using nano-crystalline alloy and an inductive coupler, which can operate at the maximum 2,000 A current, was designed and manufactured by establishment of current saturation, signal out winding, and electro-magnetic simulation in this study. Communication speed of 14 Mbps in 600 m communication distance of the real electric power transmission line was obtained by using the inductive coupler and application possibility of the inductive coupler for the electric power transmission line was certified.
-
전지의 안전성은 리튬이차전지에서 가장 중요한 요소로 주목받고 있다. 대형전지나 하이브리드 자동차와 같은 저장장치는 안전회로의 발전이나, 안성성과 신뢰성이 높은 물질이 도입되어야 하는 선결조건이 있다. Triazine 유도체는 산업용 난연제로 알려져 있다. 이를 전지로 도입하기 위한 시도는 아직 보고되어 있지 않다. 난연성 물질을 전지에 첨가하면, 그 난연성을 증가하는데, 전지의 성능을 저해하는 단점을 많이 관찰해왔다. 이 논문에는 Triazine 유도체를 전해액 첨가제로 사용하여, 전지성능의 저해여부를 관찰하고 아울러 전지의 열안전성을 측정함으로서 난연 첨가제로서 가능성을 판단하고자 하였다. 실험결과는 전지의 성능을 저해하지 않고 전극의 열안전성을 개선하는 것을 보여주었다.
-
Kim, Dae-Woon;Choo, Won-Il;Jang, Soo-Ouk;Jung, Yong-Ho;Lee, Bong-Ju;Kim, Young-Ho;Lee, Seung-Heun;Kwon, Sung-Ku 442
Hydrogen was produced by water plasma excited in very high frequency inductively coupled tube reactor. Mass spectrometry was used to monitor gas phase species at various process conditions. Water dissociation rate depend on the process parameters such as ICP power, flow-rate and pressure. Water dissociation percent in ICP reactor decrease with increase of chamber pressure and$H_2O$ flow rate, while increase with increase of ICP power. In our experimental range, maximum water dissociation rate was 65.5% at the process conditions of 265 mTorr, 68 sccm, and 400 Watt. The effect of$CH_4$ addition to a water plasma on the hydrogen production has been studied in a VHF ICP reactor. With the addition of$CH_4$ gas,$H_2$ production increases to 12% until the$CH_4$ flow rate increases up to 15 sccm. But, with the flow rate of$CH_4$ more than 20 sccm, chamber wall was deposited with carbon film because of deficiency of oxygen in gas phase, hydrogen production rate decreased. The main roles of$CH_4$ gas are to reacts with O forming CO, CHO and$CO_2$ and releasing additional$H_2$ and furthermore to prevent reverse reaction for forming$H_2O$ from$H_2$ and$O_2$ . But,$CH_4$ addition has negative effects such as cost increase and$CO_x$ emission, therefore process optimization is required. -
본 연구에서는 Ga-doped ZnO(GZO)-Ag-GZO 다층 투명전극을 Dual DC magnetron sputtering system을 이용 하여 유리기판 위에 상온에서 제작하여 Ag 두께에 따른 전기적, 광학적, 구조적 특성변화를 조사하였다. Hall effect measurement와 UV/Vis spectrometer로 전기적, 광학적 특성을 분석하였으며, X-ray diffraction(XRD)와FE-SEM분석을 통해 결정성과 표면 특성을 조사하였다. FE-SEM 분석결과 island 형태에서 continuous layer로 박막의 형상이 바뀌면서 다층 투명전극의 전기적, 광학적 특성에 영향을 미치는 것을 알 수 있었다. 본 실험에서 Ag 두께 12 nm에서 가장 최적화되어 유리기판위에 상온에서 증착되었음에도 불구하고
$5.5{\times}{\times}10^{-5}\Omega$ -cm,$6\Omega$ /sq. 의 매우 낮은 면저항과 비저항을 각각 나타내었고 550 nm 파장에서 87 % 의 높은 광 투과도를 나타내었다. 또한 두께 12 nm의 Ag가 삽입된 다층 투명전극을 polyethylene terephthalate (PET) 기판위에 성막하여 Bending test를 실시하여 0.1% 이하의 매우 낮은 저항변화를 확인함으로써 플렉시블 기반의 디스플레이나 태양전지의 투명 전극으로서의 응용 가능성을 확인하였고 마지막으로 최적화된 다층 투명전극을 유기물태양전지의 애노드에 적용하여 기존 ITO 애노드를 대체할 수 있는 투명전극으로서의 가능성을 제시하였다. -
For the polymer tandem cell, simple and advantaged solution-based method to electron transport intermediate layer is presented which are composed
$TiO_2$ nanoparticles. Device were based on a regioregular Poly(3-hexylthiophene)(P3HT) and [6,6]-phenyl$C_{61}$ butyric acid methyl ester($PC_{60}BM$ ) blend as a donor and acceptor bulk-heterojunction. For the middle electrode interlayer, the$TiO_2$ nanoparticles were well dispersed in ethanol solution and formed thin layer on the P3HT:PCBM charge separation layer by spin coating. The layer serves as the electron transport layer and divides the polymer tandem solar cell. The open-circuit voltage (Voc) for the polymer tandem solar cells was closed to the sum of those of individual cells. -
The behavior of ion trapping and migration in the yittria-stabilized zirconia pellets following high dose ion beam irradiation have been studied using SEM, EDX, and TGA. The ion beam was irradiated at room and higher temperatures and the differences in their results were interpreted in terms of dynamic annealing effects. The SRIM calculation was also performed to explain the cross sectional SEM image of the electrolytes.
-
The effects of proton beam irradiation on the yittria-stabilized zirconia (YSZ) pellets have been investigated using SEM, EDX and TGA. 130 keV proton beam was irradiated on YSZ with high doses and annealed at various temperatures. The ion conductivity was also measured as a function of proton irradiation temperature and annealing temperature and the results were compared with their corresponding SEM images and the results of SRIM calculations.
-
본 논문의 목표는 염료감응 태양전지의 재료적 특성중 반사율을 측정하여 가장 투명한 dye sensitized solar cell을 제조하기 위한 기초자료를 도출하기 위함이다. 먼저 염료감응 태양전지의 재료중 산화물질인 TiO2,SnO2,ZnO,
$Nb_2O_5$ 10~50nm두께로 ITO 기판위에 코팅하여 UV-VIS를 통해 파장별 반사 특성을 분석하였다. 또한, 동일한 시료를 사용하여 FESEM을 통한 표면 Morphology를 확인하였다. 기판제료인 TiO2,dye(염료),TCO,glass,ICO 에 대해서도 동일한 특성분석을 하였다. -
HIT(Heterojunction with intrinsic thin layer) solar cell은 결정 실리콘 (c-Si)을 n-type으로 제작시 수율이 어렵고 결정 실리콘 (c-Si)을 p-type위에 제조하는 것이 보다 보편적인 방법이므로 베이스의 결정 실리콘에는 p-type을, 그 위에는 진성 층(intrinsic layer) 그리고 반투명 전극의 아래에 제조되는 비정질 실리콘 (a-Si)을 n-type으로 하여 베이스 층과 TCO 후면 층의 두께, 도핑 농도 (doping concentration)와의 관계를 확인하여 본다.
-
비정질 실리콘 태양전지는 n-i-p형 구조가 일반적이며, 각 층의 두께와 도핑농도가 태양전지의 효율을 결정하는 중요한 요인이다. 최대의 효율을 얻을 수 있는 태양전지 설계를 위해 AFORS HET 시뮬레이션을 통하여 n층의 두께와 도핑농도, 그리고 p층의 도핑농도롤 변화시켰다. 최적화 결과, a-Si:H(n) 층의 두께 1nm, a-Si:H(n)층의 도핑농도
$2\times10^{20}cm^{-3}$ , a-Si:H(p+)층의 도핑농도$1\times10^{19}cm^{-3}$ 에서$V_{oc}$ =679.5mV,$J_{sc}$ =39.02mA/$cm^2$ , FF=83.71%, Efficiency=22.21%의 고효율을 얻을 수 있다. 본 연구를 통하여 실제의 높은 효율을 갖는 태양전지 설계와 제조 시에 이용할 수 있을 것이다. -
pin 두 개의 층으로 이루어진 적층형 박막 태양전지를 이용하여 시뮬레이션 하였다. 각 층별 두께를 조절하여 층별 효율을 측정 하였다. 또한 각 층의 도핑 농도를 조절하여 층별 효율을 측정하였다. 그 후 각각 두 개의 층의 최대효율을 측정하였고 동일한 값으로 두 층이 직렬 연결된 태양전지의 효율을 측정하였다. 그 결과 최대 10.14%로 측정 되었다.
-
태양전지의 효율은 실리콘 자체의 특성에 의해서 결정 되거나 완성된 실리콘을 통해 태양전지를 제조하는 과정에서 웨이퍼의 두께와 도핑 농도의 조절을 통해 효율을 변화 시킬 수 있다. 높은 효율을 갖는 태양전지 설계를 위해 태양전지 시뮬레이터인 AFOS HET 프로그램을 이용하여 태양전지 웨이퍼 두께와 acceptor의 도핑 농도를 조절하였다. 최적화 결과 80nm ZnO,
$300{\mu}m$ c-Si(p), 1nm a-Si(i), 1nm a-Si(n),$1{\mu}m$ Ag, acceptor의 도핑 농도$7\times10^{16}cm^{-3}$ 에서$V_{oc}$ =697.7mV,$J_{sc}$ =42.15mA/$cm^2$ ,$P_{max}$ =0.0247W/$cm^2$ , FF=83.51%, Eff=24.56%의 고효율을 얻을 수 있다. 본 연구를 통하여 태양전지 설계나 제조 시에 연구비를 절감할 수 있고 높은 효율의 태양전지로 접근할 수 있다. -
In the past few years, the deposition and characterization of cadmium sulfide semiconducting thin films has received a considerable amount of interest due to their potential application in the area of electronic and opto-electronic devices fabrications. Polycrystalline CdS thin films posses good optical transmittance, wide band-gap and electrical properties makes it as one of the ideal material for their application to solar cell fabrication. Cadmium sulfate thin films were deposited by the chemical bath deposition method using tartaric acid and triethanolamine as a complexing agent. Deposition parameters such as pH, temperature, deposition time and concentration of the reactant species were optimized so as to obtain reflecting, good adherent uniform thin films on the glass substrate. Reaction mechanism of the thin film formation is also reported. The crystallographic structure and the crystallite size were studied by the X-ray diffraction pattern. The optical band-gap of deposited film is identified by measuring the transmittance in the visible region. Temperature dependence of resistivity confirmed the semiconducting behavior of the film. Scanning electron micrographs (SEM) showed the presence of grain particles of size 50 nm.
-
We have developed new process to product Al clad steel wire. New machine was modified to be able to apply an four step of "foiling-sizing-cladding-drawing" considering low clad temperature and high clad pressure. The foiling part for continuous foiling of Al sheet was designed and machine. Cladding properties at Al and steel interface were investigated for the processes of new work.
-
Forest Fire can cause a serious damage to overhead conductors. Therefore, the detailed investigation for the changes of mechanical and electrical properties of damaged conductors should be carried out to understand the effect of forest fires on conductors. This is very much important to maintain transmission line safely. Oxidation of overhead conductor was increased with temperature and time(maximum time : 30min). Conductivity of Al conductor was decreased by Agents. The detailed will be given in the text.
-
According to previous report, aged sleeves for old transmission lines have various defect such as biased installation or corrosion of steel sleeve. These defects occupied almost 50 percent of investigated aged sleeves. The defects have been limited power capacity of transmission line. And it can cause serious problems such as rapid increasing of sag or falling out of overhead conductor from sleeve. This paper study on thermal behavior of sleeve with various defect model. The detailed results were presented in the text.