Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference (한국전기전자재료학회:학술대회논문집)
The Korean Institute of Electrical and Electronic Material Engineers
- Annual
2006.11a
-
Li, Shi-Guang;Lee, Won-Jae;Zhang, Ying-Ying;Zhun, Zhong;Jung, Soon-Yen;Lee, Ga-Won;Wang, Jin-Suk;Lee, Hi-Deok 3
본 논문에서는 nano-scale CMOSFET을 위해 Boron Cluster ($B_{18}H_{22}$ )가 이온주입된 SOI 와 Bulk 기판들 이용하였으며 실리사이드의 열 안정성 개선을 위해 Ni-V을 증착한 것과 순수 Ni을 증착한 것을 비교 분석 하였다. 결과 SOI위에 Ni-V을 증착한 것이 제일 낮은 면 저항을 보여주었고 반대로 Bulk위에는 제일 높은 면 저항을 보여 주었다. 단면을 측정한 결과 SOI 위에 Ni-V을 증착한 동일 조건의 Ni보다 Silicide의 두께가 두껍게 형성된 것을 확인하였다. -
There are typically applied on both rear and front sides of electrical contacts to the solar cell. The front contact formation is particularly sensitive to many parameters. Accordingly patterning of front grid line is an important factor of solar cells. This paper describe the electrical conversion efficiency, inclusive of shading loss that gives various spacing between front metal grid lines. In experiments with variation of spacing. It was verified that the wide spacing of grid fingers could increase the series resistance, also the narrow spacing of grid fingers also implies a grid with a higher density of grid fingers. The sunlight of incidence was more of reflection by grid fingers. In result, the short circuit current, which contribute to conversion efficiency was decreased, because maximum power input was reduced and increase the series resistance.
-
The effects of heat treatment on the electrical properties of SGOI were examined. We proposed the optimized heat treatments for improving the interfacial electrical properties in SGOI-MOSFET. By applying the additional pre-RTA(rapid thermal annealing) before gate oxidation and post-RTA after dopant activation, the driving current, the transconductance, and the leakage current were improved significantly.
-
The patterning for the active layer of organic semiconductors is important to attain completely organic-based OTFTs(Organic Thin Film Transistors). We studied on possibility of the application of the conventional photolithography technique to pattern the organic active layer poly(3-hexylthiophene)(P3HT). Patterned P3HT-based OTFTs with Bottom Contact(BC) configuration were fabricated using the conventional photolithography. We achieved field-effect mobilities in the saturation regime
${\sim}1.2{\times}10^{-3}cm^2/V{\cdot}s$ ,$I_{on/off}$ ratios${\sim}10^5$ in the subtractive method,${\sim}8{\times}10^{-4}cm^2/V{\cdot}s$ ,$I_{on/off}$ ratios${\sim}10^3$ in the additive one. -
ZnO는 넓은 밴드갭(3.37eV)과 큰 액시톤(exciton) 결합에너지(60meV)를 가지는 II-VI족 화합물 반도체이다[1]. 이와같은 특성은 상온에서도 높은 재결합 효율이 기대되는 엑시톤 전이가 가능하여 자발적인 발광특성 및 레이저 발진을 위한 낮은 임계전압을 가져 일광효율이 큰 장점이 있다. 최근에는 ZnO의 전기적, 광학적, 자기적 특성을 높이기 위해 doping에 대한 연구가 많이 보고 되고 있다. 이중 ZnO내에 Mg을 doping하게 되면 Mg 조성에 따라 밴드갭이 3.3~7.7eV까지 변하게 된다. 그러나 이원계 상평형도에 따라 ZnO내에 고용될 수 있는 MgO의 고용도는 4at% 이하이다. 이는 ZnO는 Wurtzite 구조이고, MgO는 rocksalt 구조로 각각 결정구조가 다르기 때문이다. 본 연구는 열기상증착방법(thermal evaporation)으로 ZnO 템플레이트를 이용하여 MgZnO 나노막대를 합성하였고, Zn와 Mg의 서로 다른 녹는점을 이용해 2-step으로 성장을 하였다. 합성은 수평로를 사용하였으며, 반응온도 550,
$700^{\circ}C$ 로 2-step으로 하였으며, 소스로 사용된 Zn(99.99%)과 Mg(99.99%) 분말을 산소를 직접 반응시켜 합성하였다. Ar 가스와 O2 가스를 각각 운반가스와 반응가스로 사용하였다. ZnO 템플레이트 위에 성장시킨 1차원 MgZnO 나노구조의 형태 및 구조적 특성을 FESEM과 TEM으로 분석하였다. 그리고 결정학적 특성은 XRD를 이용해 분석하였다. -
$Ga_2O_3$ is associated with the fabrication of thin window layer of solar cell. Usually,$Ga_2O_3$ is synthesized from Ga-metal oxidation method and GaN mono-crystal heat treatment method. We synthesized$Ga_2O_3$ powder using two methods and analyzed powder using latter method compared with powder by former method. XPS, XRD, IR analysis are conducted. XPS result, surface of GaN powder is almost oxidized to$Ga_2O_3$ at$1124^{\circ}C$ heat treatment and XRD and IR result, the inside of GaN powder is dramatically oxidized at$1124^{\circ}C{\sim}1300^{\circ}C$ . -
Al2O3 단결정을 기판을 이용하여 HVPE법으로 GaN를 성장한 후 얻어진 GaN wafer는 N-face에 동종인 GaN를 성장하였다. 이때 동종 성장은 Al2O3와의 열팽창계수 차이로 야기된 휨을 제거할 수 있었으며, 양쪽 면은 결합 밀도가 급격히 감소하였다. 또한 표면 분극을 조사하기 위하여 에칭후 SEM 형상과 CBED를 조사 하였으며 특히 N-face에서의 표면 형상과 PL의 변화를 조사하였다. 이때 N-face의 변화는 초기의 N-face의 특성과 다른 양상을 보여 주고 있으며, DXRD와 PL 분석 걸과 결정성은 두배나 높은 결과를 보여주고 있다.
-
Zhang, Ying-Ying;Lim, Sung-Kyu;Lee, Won-Jae;Zhong, Zhun;Li, Shi-Guang;Jung, Soon-Yen;Lee, Ga-Won;Wang, Jin-Suk;Lee, Hi-Deok 15
The thermal stability of nickel silicide with compressively and tensilely stressed nitride capping layer has been investigated in this study. The Ni (10 nm) and Ni/Co/TiN (7/3/25 nm) structures were deposited on the p-type Si substrate. The stressed capping layer was deposited using plasma enhanced chemical vapor deposition (PECVD) after silicide formation by one-step rapid thermal process (RTP) at$500^{\circ}C$ for 30 sec. It was found that the thermal stability of nickel silicide depends on the stress induced by the nitride capping layer. In the case of Ni (10 nm) structure, the high compressive sample shows the best thermal stability, whereas in the case of Ni/Co/TiN (7/3/25 nm) structure, the high compressive sample shows the worst thermal stability. -
고상 확산 방법을 이용하여 얕은 소스/드레인 접합을 가지는 SOI (Silicon-On-Insulator) MOSFET 소자를 제작하였다. 확산원으로는 PSG(Phosphorus silicate glass) 박막과 PBF(Poly Boron Film) 박막이 각각 n, p-type 소자 형성을 위해 사용되었다. 얕은 접합 형성을 위하여 급속 열처리 방법(RTA: Rapid Thermal Annealing)을 이용하여 PSG와 PBF로부터 인과 붕소를 SOI MOSFET 소자의 소스/드레인으로 확산시켰다. 또한, 소자 특성 개선을 위한 후 속 열처리 공정으로 희석된 수소 분위기 중에서 FA(Furnace Annealing)를 실시하였다. SPD 기술을 적용하여 10 nm 이하의 매우 얕은 p-n 접합을 형성할 수 있었고, 양호한 다이오드 특성을 얻을 수 있었다. 또한, SPD 방법으로 결함이 없는 접합 형성이 가능하며, 소자 제작 공정의 최적화를 통해 차세대 CMOS 소자로 기대되는 SOI MOSFET를 성공적으로 제작할 수 있었다.
-
Two methods that can reduce reflectance in solar cells are surface texturing and anti-reflection coating. Wet chemical etching is a typical method that surface texturing of multi-crystalline silicon. Wet chemical etching methods are the acid texturization of saw damage on the surface of multi-crystalline silicon or double-step chemical etching after KOH saw damage removal too. These methods of surface texturing are realized by chemical etching in acid solutions HF-
$HNO_3$ -$H_2O$ . In this solutions we can reduce reflectance spectra by simple process etching of multi-crystalline silicon surface. We have obtained reflectance of 27.19% m 400~1100nm from acidic chemical etching after KOH saw damage removal. This result is about 7% less than just saw damage removal substrate. The surface morphology observed by microscope and scanning electron microscopy (SEM). -
21세기 정보통신 및 관련 소재의 연구방향은 새로운 기능성 확보, 극한적 제어성, 복합 및 융합이라는 경향으로 발전해 가고 있다. 반도체 기술 분야에서 현재의 공정적 한계를 극복하고 새로운 기능성을 부여하기 위해 나노 합성과 배열을 기본으로 하여 bottom-up 방식의 나노소자 구현이 큰 주목을 받고 있다. 나노선의 경우 나노 스케일의 dimension, 양자 제한 효과, 우수한 결정성, self-assembly, internal stress 등 기존 벌크형 소재에서 발견할 수 없는 새로운 기능성이 나타나고 있어 바이오, 에너지, 구조, 전자, 센서 등의 분야에서의 활용이 가능하다. 현재 국내외적으로 반도체 나노선으로 널리 연구되고 있는 재료는 ZnO,
$SnO_2$ , SiC 등이 중심이 되고 있다. 이중 ZnO 나 노선의 합성을 위해서는 thermal CVD, MOCVD, PLD, wet-chemical 등 다양한 방법이 사용되고 있다. 특히 MOCVD 방법에 의해 수직 정렬된 ZnO 나노막대를 성장할 수 있다. 이러한 나노막대는 MO 원료 및 산소 공급량을 적절히 제어함으로서 수직 배향 및 나노선의 구경 제어가 가능하며, 나노 막대의 크기 제어와 관련해서는 반응 관내의 DEZn 와$O_2$ 의 양을 변화시켜 구조체의 크기를 수 십 ~ 수 백 나노미터의 크기로 제어할 수 있다. 본 연구는 이러한 ZnO 나노선의 성장과정에서$210^{\circ}C$ 이하의 저온에서 성장한 ZnO 버퍼층을 이용해 나노구조의 형상을 제어하고자 하였다. 특히 ZnO 저온 버퍼층의 두께에 따라 나노막대의 직경변화, 수직배향성, 형상변화의 제어가 가능하였다. 나노막대의 특성 평가는 TEM, SEM, PL, XRD 등을 이용하여 구조적, 결정학적, 광학적 특성을 분석하였다. -
A process for removal of photoresist(PR) m semiconductor manufacturing using water vapor with ozone is presented. For the realization of the ozone/vapor mixture process, high concentration ozone generator and process facilities have developed. As a result of the silicon wafer PR strip test, we confirmed the high efficiency PR strip rates of 400nm/mm or more at the ozone concentration of 16wt%/
$O_2$ . The ozone/vapor mixture process is more effective than the ozonized water Immersion process. -
Kim, H.S.;Oh, S.S.;Ha, H.S.;Yang, J.S.;Kim, T.H.;Lee, N.J.;Jeong, Y.H.;Ko, R.K.;Song, K.J.;Ha, D.W.;Youm, D.J. 24
SmBCO coated conductors were successfully fabricated using EDDC (Evaporation using Drum in Dual Chambers) deposition system that is a bath type co-evaporation system for fabrication of superconducting tape and divided into two chambers named evaporation chamber and reaction chamber. To obtain long and high quality superconducting coated conductor, it is very important to secure the uniformity of all the deposition parameters m the deposition system such as deposition temperature, oxygen partial pressure, compositional ratios and so on. Therefore, we investigated the distribution of the parameters along the axis of the drum m EDDC on which tapes were wound helically. When the temperature on the middle point of deposition zone was$700^{\circ}C$ , that on the edge of deposition zone was$675^{\circ}C$ . When the thickness of SmBCO layer on the middle point of deposition zone was 1063 nm, that on the edge of deposition zone was 899 nm. The partial pressure of oxygen was 5 mTorr in the reaction chamber while that was$7{\times}10^{-5}$ Torr in the evaporation chamber. The composition ratio of Sm:Ba:Cu, that was measured by EDX, was very uniform along the axis of the drum. Under these deposition conditions, critical current distribution along the drum axis was 175 A/cm, 190A/cm, 217.5 A/cm, 182.5 A/cm, 175 A/cm with the interval of 9 cm between samples. It means that the EDDC system has the potential of fabricating (100m, 200A) class coated conductor. -
The fundamental mechanical characteristics under tensile and bending deformations of hermetically-sealed reinforced Bi-2223 tape and CTOP processed Bi-2223 tape were examined at 77K. Also, the Tensile strain dependence of the critical current,
$I_c$ , was obtained at 77K and self-field. The reinforced hermetic tape showed higher tensile strength and a better Tensile strain tolerance than the CTOP processed tape. For bending tests, a rho-shaped sample holder was used giving multiple bending strains. in increasing order. In the same case under bending deformation, the hermetic tape showed a higher bending strain tolerance than the CTOP processed tape. This higher strength of the hermetic tape can be attributed to the thick hardened copper reinforcement layer. -
Song, K.J.;Kim, T.H.;Kim, H.S.;Ko, R.K.;Ha, H.S.;Ha, D.W.;Oh, S.S.;Park, C.;Yoo, S.I.;Joo, J.H.;Kim, M.W.;Kim, C.J. 28
The magnetic properties of a series of both annealed and as-rolled Ni-$W_y$ alloy tapes with compositions y = 0, 1, 3, and 5 at.%, were studied. To compare with Ni-W alloys, the magnetic properties of a series of both annealed and as-rolled$[Ni_{97at.%}W_{3at.%}]_{100-x}Cu_x$ alloy tapes with compositions x = 0, 1, 3, 5 and 7 at.%, were studied, as well. Both the isothermal mass magnetization M(H) of a series of samples, such as both Ni-W and [Ni-W]-Cu alloy tapes, at different fixed temperatures and M(T) in fixed field, were measured using a PPMS-9 (Quantum Design). The degree of ferromagnetism of Ni-$W_y$ alloys have reduced as W-content y increases. Both the saturation magnetization$M_{sat}$ and Curie temperature$T_c$ decrease linearly with W-content y, and both$M_{sat}$ and$T_c$ go to zero at critical concentration of$y_c$ ~ 9.50 at.% W. The effect of Cu addition on both the saturation magnetization$M_sat$ and Curie temperature$T_c$ decrease linearly with Cu-content x in$[Ni_{97at.%}W_{3at.%}]_{100-x}Cu_x$ alloy tapes with compositions x = 0, 1, 3, 5, and 7 at.%. The results confirm that [Ni-W]-Cu alloy tapes can have much reduced ferromagnetism as Cu-content x increases. -
Ha, Hong-Soo;Kim, Ho-Sup;Yang, Ju-Saeng;Jung, Yae-Hyun;Kim, Ho-Kyum;Yoo, Kwon-Kuk;Ko, Rock-Kil;Song, Kyu-Jeong;Ha, Dong-Woo;Oh, Sang-Soo;Yeom, Do-Jun;Park, Chan;Yoo, Sang-Im;Moon, Seong-Hyun;Joo, Jin-Ho 30
We have fabricated SmBCO coated conductor on IBAD-MgO substrates using unique co-evaporation method. The batch type co-deposition system was specially designed and named as EDDC(evaporation using drum m dual chamber) that is possible to deposit superconducting layer with different composition ratio at low temperature of$700^{\circ}C$ . In this study, we have investigated the influence of SmBCO phase composition and texture of IBAD-MgO template on the critical current density. We have changed the deposition rates of Sm, Ba and Cu during co-evaporation to examine the optimal composition ratio shown better critical current density. The composition ratio and surface morphology of SmBCO coated conductors were analyzed by the EDX and SEM, respectively. A higher critical current density was measured at superconducting phase composition ratio of Ba deficiency, Sm or Cu rich compared to the Sm1Ba2Cu3Ox stoichiometry. -
In this study, in order to develop multilayer ceramic actuator for ultrasonic nozzle and ultrasonic vibrator, PMN-PNN-PZT ceramics were fabricated using
$Li_2CO_3$ .$Na_2CO_3$ and ZnO as sintering aids. And then, their piezoelectric and dielectric properties according to the amount of ZnO addition were investigated. The addition of ZnO improved density, dielectric constant, electromechanical coupling factor, mechanical quality factor and piezoelectric d constant of PMN-PNN-PZT ceramics due to the increase of sinterability and accepter doping effect. Electromechanical coupling factor and mechanical quality factor of PMN-PNN-PZT ceramics increased with ZnO amount up to 0.4wt% and then decreased. At the sintering temperature of$900^{\circ}C$ and 0.4wt% ZnO addition, density, dielectric constant, electromechanical coupling factor, mechanical quality factor and piezoelectric d constant showed the optimum value of 7.876g/$cm^2$ , 1299, 0.612, 1151 and 369pC/N, respectively. -
Ferrite/Varistor 이종재료 동시소성에서 소성 시 두 재료간의 서로 다른 수축률에 의한 휠 거동을 ferrite 하소온도와 sheet 제조 시 binder 함량에 따라 제어하였다. Ferrite의 하소온도를
$750^{\circ}C{\sim}900^{\circ}C$ 로 변화시켰을 때 하소온도가$900^{ \circ}C$ 일 때 수축률이 varistor의 수축률과 악 1%미만의 차이로 가장 유사하였다.$900^{\circ}C$ 에서 하소한 ferrite의 slurry 제조 시 binder의 함량을 40wt%~50wt%로 변화시키면서 sheet를 제조하여 varistor와 적층하여$900^{\circ}C{\sim}1000^{\circ}C$ 에서 소결하였다. Binder 함량이 40wt%에 ferrite와 varistor를 교대로 적층된 시편에서 동시소성 시 휨을 제거할 수 있었다. -
The influence of the concentration of precursor solution and the number of solution coatings on the densification of the Pb(
$Zr_xTi_{1-x}$ )$O_3$ (PZT) thick films was studied. PZT powder and PZT precursor solution were prepared by sol-gel method and PZT thick films were fabricated by the screen-printing method on the alumina substrates. The powder and solution of composition were PZT(70/30) and PZT(30/70), respectively. The coating and drying procedure was repeated 4 times. And then the PZT precursor solution was spin-coated on the PZT thick films. A concentration of a coating solution was 0.5 to 2.0 mol/L[M] and the number of coating was repeated from 0 to 6. The relative dielectric constant of the PZT thick film was increased with increasing the number of solution coatings and the thick films with 15M, 6-time coated showed the 698. The remanent polarization of the 1.5M, 6-time coated PZT thick films was 38.3${\mu}C/cm^2$ . -
본 연구에서는 압전체의 횡 진동모드를 이용한 압전 초음파 진동자를 설계 분석하였다. 이전의 란쥬반 진동자는 진동을 얻기 위하여, 복수의 원판 또는 사각판 형태의 압전 세라믹을 서로 반대 방향으로 분극하여 마주 보도록 설치한 후 전기적으로 병렬로 연결하고 상단 및 하단에 금속부을 부착하여 전체를 볼트로 조인 복잡한 구조와 큰 출력 파워를 갚는 반면, 본 연구에서는 판상형의 압전소자와 일체형 금속진동체를 이용하여 기계적 출력 파워 조절이 용이한 구조의 압전 진동자를 고안하여, 압전진동자의 횡 진동 모드를 이용함으로써 신뢰성과 정확도가 높고 진동효율이 최대가 되도록 설계하였다. 설계 개발된 압전 진동자는 진동의 크기 조절이 용이하여 일반 진동자 뿐 만 아니라, 외과 및 안과 수술에 있어서 인체조직이나 각막상피의 활성화를 유지한 상태에서 안전하게 절개 및 분리 시술용 진동자로 사용 할 수 있는 이점이있다. 압전 진동자는 유한요소법 시뮬레이션 프로그램 (ATILA 5.2.4)을 이용하여 설계를 하였으며 압전소자의 두께는 각각 0.2 mm, 0.5 mm로 제작하여 시뮬레이션 결과와 제작된 샘플의 특성을 비교하였고, 변위측정은 칼날을 결합 한 상태에서 공진 주파수대역 부근 주파수별로 측정 비교하였다.
-
AE sensor using lead-free ceramics should be developed for prohibiting environment protection. In this study, Langevin type AE sensor was manufactured as air backing structure. Here, the piezoelectic element was used as PZT(EC-65) and NKN, respectively. The resonant frequency of AE sensor using PZT was 143 kHz and the resonant frequency of AE sensor using NKN was 178 kHz. The waveform of AE sensor using NKN was responded more sensitively than that of AE sensor using PZT.
-
LTCC 공정의 안정화를 위한 기본적인 공정인 분산의 최적화를 위하여 상용 LTCC 분말인 MLS-22(NEG, Japan)를 이용하여 다양한 평가 방법으로 분산성을 평가하였다. 분산제의 종류와 함량을 조절하여 슬러리의 점도, 표면 거칠기, 코팅된 슬러리의 표면 미세구조를 측정하였다. SN-dispersant 9228 분산제를 사용한 경우 0.4wt%첨가되었을 때 최적의 분산 특성을 얻을 수 있었으며 각 평가 방법은 잘 일치하는 분산의 평가 경향을 나타내었다.
-
We have studied electro-optical characteristics and stability of liquid crystal director depending on electrode patterning of common electrode on top substrate in patterned vertical alignment (PVA) mode. In the present studies, new type of common electrode pattern was suggested to enhance a dark state by reducing interference area due to data signal. According to the simulation result, PVA device with new common electrode pattern contributed to Improvement of an aperture ratio.
-
Application of the carbon nanotube is increasing continuously since 1991. In the studies of the carbon nanotube for the display, emitter has been actively developed, especially in FED. Other studies of carbon nanotube are the materials of LED and PDP for backlight and dielectrical matter because carbon nanotube is good electric conductor. This report presents about the carbon nanotube for the display.
-
Jeon, Sang-Youn;Jeong, Seok-Jin;Jeong, Seok-Ho;Shin, Seung-Hwan;An, Kay-Hyok;Kang, Hoon;Kim, Kyoung-Jin;Lee, Seung-Hee;Lee, Young-Hee 47
Carbon nanotubes (CNTs)-doped homogeneously aligned nematic liquid crystal (LC) cells driven by in-plane field were fabricated and their electro-optic characteristics were investigated. Effective cell retardation values in an absence of an electric field between doped and undoped LC were the same each other. In the presence of an electric field, however, measured effective cell retardation value was smaller in the CNT-doped cell than in the undoped cell so that the transmittance was slightly smaller in the CNT-doped cell than in the undoped cell. In addition, the CNT-doped cell exhibited slight increase in driving voltage and decrease in response time compared to the undoped cell. The CNT effects on electro-optic characteristics of the cell were discussed. -
We have developed vertical alignment liquid crystal display (VA-LCD), of which the viewing angle can be controlled. The VA-LCD has the function of switching between the wide viewing mode and narrow viewing angle mode using one homogeneous aligned (HA) LC layer and one compensation film with a negative C-plate. The retardation of the HA layer at off axis can be controlled by applying an electric field while keeping the retardation value to be zero at normal direction. Consequently, the device exhibits a viewing mode over
$170^{\circ}$ in terms of CR = 10 in wide viewing mode and about$60^{\circ}$ in terms of CR = 2 in narrow viewing angle mode m horizontal direction. -
FFL의 내구성 및 신뢰성 확보를 위해 Lamp 상태에서 원하는 부위에
$-15^{\circ}C$ 를 유지하기 위한 Cold Spot을 부착하여 Lamp안의 Hg이 완전히 포집되도록 한다. 이후 이 Cold Spot을 제거하여 Hg peak과 Ne Peak의 Ratio의 변화를 관찰하며 Hg이 이동하는 것을 관잘 하여 Lamp 내에서 이동 속도와 Lamp 간에 이동 속도를 확인 할 수 있다. Lamp 내에서는 80분이 경과한 후에 Hg 이동이 Saturation되는 것을 확인 할 수 있고, Lamp 간에는 100시간이 경과후에 Saturation 되는 것을 확인 할 수 있었고, Ratio는 Channel내는 70 ~ 150, Channel간에는 6~10 정도임을 확인하여 Hg이 이동되는 속도와 회복되는 수준을 알 수 있었다. -
We have studied the viewing angle control of a twist nematic liquid crystal display (TN-LCD). The TN-LCD exhibits a bad image quality along vertical direction, due to characteristics such as low contrast ratio and grey scale inversion. The proposed new TN-LCD has the function of switching between the wide viewing mode and narrow viewing angle mode using two tilted LC layers at both sides of a TN-cell Tilt angles of the two LC layers,
$14^{\circ}$ and$60^{\circ}$ were required in both wide viewing angle and narrow viewing angle modes, respectively. -
This research was carried out to observe the structure and characteristics of SUBA pad for silicon wafer polishing. As the diamond size is smaller and shape is rounder, the pad cut rate becomes smaller. From the experimental results, we suggests that the diamond grade should be over 680 when the diamond mesh is between #100 and #170 for SUBA pad.
-
In MIM (metal insulator metal) capacitor, Ru (ruthenium) has been suggested as new bottom electrode due to its excellent electrical performance, a low leakage of current and compatibility to the high dielectric constant materials. In this case of Ru bottom electrode, CMP (chemical mechanical planarization) process was needed m order to planarize and isolate the bottom electrode. In this study, the effect of chemical A on polishing and etching behavior was investigated as functions of chemical A concentration, abrasive particle and pressure. Chemical A was used as oxidant and etchant. The thickness of passivation layer on the treated Ru surface increased with the increase of chemical A concentration. The etch rate and removal rate of Ru were increased by the addition of chemical A. The removal rate was highest m slurry of pH 9 with the addition of 0.1 M chemical A and 2 wt% alumina at 4 psi. The maximum removal rate is about 80 nm/min.
-
The slurry stability and removal rate during recycling of slurry in silicon wafer polishing was studied. Average abrasive size of slurry was not changed with recycling time, however, large particles appeared as recycling time increased. Large particles were related foreign substances from pad or abraded silicon flakes during polishing. The removal rate as well as pH of slurry was decreased as recycling time increased. It suggests that the consumption of OH ions during recycling is the main cause of decrease of removal rate. Therefore, it is important to control pH of slurry to obtain optimum removal rate during polishing.
-
Here we present detailed simulation results of trench field stop IGBTs. Besides the reduced on-state voltage drop there is also an Increase of forward blocking voltage. A trench gate IGBT has low on-state voltage drop mainly due to the removal of the JFET region and a field stop IGBT has high forward blocking voltages due to the trapezoidal field distribution under blocking condition. We have simulated the static characteristics of TIGBT with field stop technology by 2D simulator(MEDICI). The simulated result of forward blocking voltage and on-state voltage drop is about 1,408V and 1.3V respectively at
$110{\mu}m$ N-drift thickness. -
ZnO 박막은 II-VI족 화합물 반도체로서 상온에서 3.37eV의 넓은 밴드갭을 가지고 있을 뿐만 아니라 GaN(28meV) 보다 상온에서 큰 엑시톤 결합 에너지(60meV)와 열 안정성을 가지고 있다. 특히 ZnO를 base로 한 2차원의 화합물 (MgZnO, CdZnO 그리고 MgO) 반도체 물질은 UV LED, 생 화학 센서와 투명전극 등으로 응용이 가능하다. ZnO/MgZnO 양자우물 구조의 양자제한 효과로 인한 엑시톤 결합에너지와 전기적 광학적 특성 향상으로 광전자 소 자 제작이 가능하다. 그렇지만, Zn-Mg 상평형도에서 ZnO 내에 Mg 고용도가 상온에서 열역학적으로 4at% 이하 이고, 또한 ZnO와 MgO는 각각 우르짜이트 구조와 면심입방 구조를 가지기 때문에 Mg 함량을 높이는데 어려움이 있다. 이러한 문제점을 해결하기 위해 열처리를 함으로써 MgZnO 박막 내에 Mg 함량의 증가와 결정성 향상으로 고품질의 광전자 소자 제작을 가능하게 했다. 본 실험에서는 RF 마그네트론 스퍼터링 장비로 MgZnO 박막 성장 후 Si 기판위에 성장된 박막의 결정성 향상과 MgZnO 내의 Mg 함량 변화를 관찰하기 위해 성장된 박막에 대한 열처리 효과를 연구 하였다.
-
Development of ArF Photo-lithography process has proceeded with the increase of numerical aperature (NA) and the decrease of resist thickness. It makes many problems such as cost and process complexity. A novel spin-on hard mask system is proposed to overcome many problems Spin-on hard mask composed of two layers of siloxane and carbon. The optical thickness of two layers is designed from reflectivity measurement at specified n, k respectively. The property of photo-resist shows different results according to Si contents. Si-contents was measured XPS(X-ray Photoelectron spectroscopy).
-
Koo, Hyun-Mo;Lee, Woo-Hyun;Cho, Won-Ju;Koo, Sang-Mo;Chung, Hong-Bay;Lee, Dong-Uk;Kim, Jae-Hoon;Lee, Min-Seung;Kim, Eun-Kyu 67
Floating gate non-volatile memory devices with Au nano-particles embedded in SiON or$SiO_2$ dielectrics were fabricated by digital sputtering method. The size and the density of Au are 4nm and$2{\times}10^{-12}cm^{-2}$ , respectively. The floating gate memory of MOSFET with 5nm tunnel oxide and 45nm control oxide have been fabricated. This devices revealed a memory effect which due to proGrainming and erasing works perform by a gate bias stress repeatedly. -
A detailed Investigation of cell structure and electrical characteristic in chalcogenide-based phase-change random access memory(PRAM) devices is presented. We used compound of Ge-Sb-Te material for phase-change cell. A novel bottom electrode structure and manufacture are described. We used heat radiator structure for improved reset characteristic. A resistance change measurement is performed on the test chip. From the resistance change, we could observe faster reset characteristic.
-
The stochiometric mixture of evaporating materials for the
$ZnIn_2S_4$ single crystal thin film was prepared from horizontal furnace. To obtain the$ZnIn_2S_4$ single crystal thin film.$ZnIn_2S_4$ mixed crystal was deposited on throughly etched semi-insulating GaAs(100). In the Hot Wall Epitaxy(HWE) system. From the photocurrent spectrum by illumination of perpendicular light on the c-axis of the$ZnIn_2S_4$ single crystal thin film, we have found that the values of spin orbit splitting${\Delta}So$ and the crystal field splitting${\Delta}Cr$ were 0.0148 eV and 0.1678 eV at$10_{\circ}K$ , respectively. -
Ferroelectric thin films have been widely investigated for future nonvolatile memory application. We fabricated the BLT (
$(Bi,La)_4Ti_3O_{12}$ ) films on Si using a STA ($SrTa_2O_6$ ) buffer layer BLT and STA film were prepared by sol-gel method. Measurement data by XRD and AFM, showed that BLT film and STA films were well crystallized and a good surface morphology. From C-V measurement reward that the Au/BLT/STA/Si structure showed a clockwise hysteresis loop with a memory window of 1.5 V for the bias voltage sweep of${\pm}5$ V. From results, the Au/BLT/STA/Si structure is useful for FeFETs. -
olycrystailine CdSe thin films were grown on ceramic substrate using a chemical bath deposition (CBD)method. They were annealed at various temperature and X-ray diffraction patterns were measured by X-ray diffractometer in order to study CdSe polycrystal structure. Its grain size was about 0.3
${\mu}m$ . Hall effect on this sample was measured by Van der Pauw method and studied on carrier density and movility depending on temperature. We measured also spectral response, sensitivity($\gamma$ ), maximum allowable power dissipation and response time on these samples. -
In order to increase the efficiency of LED, transparent electrodes should be also developed. also suitable anti-reflection coating (ARC) is necessary for practical device applications. In our paper, Al-doped ZnO (AZO) films were fabricated by sputtering on GaP substrate(wavelength:620nm). Choosing optimum substrate temperature and sputtering rate, high quality AZO films were formed. We confirmed that the surface and electrical properties, which implemented using the methods of AFM, Hall measurement. The properties of AZO thin films especially depended on the thickness. We presumed that the change of the increase the external quantum efficiency of LED according to the AZO thin film of thickness.
-
A stoichiometric mixture of evaporating materials for
$CdIn_2S_4$ single crystal thin films was prepared from horizontal electric furnace. To obtain the single crystal thin films,$CdIn_2S_4$ mixed crystal was deposited on thoroughly etched semi-Insulating GaAs(100) substrate by the Hot Wall Epitaxy (HWE) system. The temperature dependence of the energy band gap of the$CdIn_2S_4$ obtained from the absorption spectra was well described by the Varshni's relation.$E_g(T)=2.7116 eV-(7.74{\times}10^{-4} eV)T^2/(T+434)$ . The crystal field and the spin-orbit splitting energies for the valence band of the$CdIn_2S_4$ have been estimated to be 0.1291 eV and 0.0248 eV, respectively, by means of the photocurrent spectra and the Hopfield quasicubic model. These results indicate that the splitting of the${\Delta}so$ definitely exists in the${\Gamma}_5$ states of the valence band of the$AgInS_2$ /GaAs epilayer. The three photocurrent peaks observed at 10K are ascribed to the$A_1-$ ,$B_1-$ , and C1-exciton peaks for n = 1. -
SOI(Silicon-On-Insulator) MOSFET의 전기적 특성에 미치는 게이트 산화막과 계면준위 밀도의 관계를 조사하였다. 결함이 발생하지 않는 얕은 소스/드레인 접합을 형성하기 위하여 급속열처리를 이용한 고상확산방법으로 제작한 SOI MOSFET 소자는 급속열처리 과정에서 계면준위가 증가하여 소자의 특성이 열화된다. 이를 개선하기 위하여
$H_2/N_2$ 분위기에서 후속 열처리 공정을 함으로써 소자의 특성이 향상됨을 볼 수 있었다. 이와같이 급속열처리 공정과$H_2/H_2$ 분위기에서의 후속 열처리 공정이 소자 특성에 미치는 영향을 분석하기 위하여 소자 시뮬레이션을 이용하여 게이트 산화막과 채널 사이의 계면준위 밀도를 분석하였다. 그 결과, n-MOSFET의 경우에는 acceptor-type trap, p-MOSFET의 경우에는 donor-type trap density가 소자특성에 큰 영향을 미치는 것을 확인하였다. -
For phase transition method, good recording sensitivity, low heat radiation, fast crystallization and hi-resolution are essential. Also, A retention time is very important part for phase transition. In our presentation wall, we chose Ge-Se-Te material to use a Se material which has good optical sensitivity than Sb. A Ge-Se-Te sample was fabricated and Irradiated with He-Ne laser and DPSS laser to investigate a reversible phase change by light.
-
Single crystal
$AgGaSe_2$ layers were grown on thoroughly etched semi-insulating GaAs(100) substrate at$420^{\circ}C$ with hot wall epitaxy (HWE) system by evaporating$AgGaSe_2$ source at$630^{\circ}C$ . The crystalline structure of the single crystal thin films was investigated by the photoluminescence and double crystal X-ray diffraction (DCXD). The temperature dependence of the energy band gap of the$AgGaSe_2$ obtained from the absorption spectra was well described by the Varshni's relation,$E_g(T)=19501 eV-(879{\times}10^{-4} eV/K)T^2/(T+250 K)$ . -
Lee, Jeoung-In;Hwang, Sung-Hyun;Jung, Sung-Wook;Jang, Kyung-Soo;Lee, Kwang-Soo;Chung, Ho-Kyoon;Choi, Byoung-Deog;Lee, Ki-Yong;Yi, Jun-Sin 87
Recently, poly-Si TFT-LCD starts to be mass produced using excimer laser annealing (ELA) poly-Si. The main reason for this is the good quality poly-Si and large area uniformity. We report the influence of channel length and width on poly-Si TFTs performance. Transfer characteristics of p-channel poly-Si thin film transistors fabricated on polycrystalline silicon (poly-Si) thin film transistors (TFTs) with various channel lengths and widths of 2-30${\mu}m$ has been investigated. In this paper, we analyzed the data of p-type TFTs. We studied threshold voltage ($V_{TH}$ ), on/off current ratio ($I_{ON}/I_{OFF}$ ), saturation current ($I_{DSAT}$ ), and transconductance ($g_m$ ) of p-channel poly-Si thin film transistors with various channel lengths and widths. -
The CNTs are of great interest because of their unique complete properties of matter, especially, the large thermal conductivity (Thermal conductivity of CNTs ~ >2000W /mK vs. Thermal conductivity of Aluminum ~ >204W/mK). However, owing to the strong agglomeration cause by the vander wall's force, the CNTs are limited to applicate. In this study. we suggest a new method for CNTs dispersion. which are developed by the mechanical and chemical method. and then Cu was coated. This new process produces CNTs/Cu nanocomposite powders. The CNTs are homogeneously located within the Cu powders by chemical reaction. And the thermal properties of the CNTs/Cu nanocomposite were investigated.
-
Aluminum-doped zinc oxide (AZO) films are attractive materials as transparent conductive electrode because they are inexpensive, nontoxic and abundant element compared with indium tin oxide (ITO). AZO films have been deposited on glass (corning 1737) substrates by RF magnetron sputtering system. The electrical resistivity of AZO films was
$1.81{\times}10^{-2}{\Omega}cm$ and the average transmittance in the visible range 400-800 nm was more than 76% Organic light-emitting diodes (OLEDs) with AZO/TPD/$Alq_3$ /Al configuration were fabricated. The current density-voltage properties of devices were studied and compared with ITO devices fabricated under the same conditions. -
나노급 CMOS 기술에서 high-k 물질을 이용하여 게이트 유전막을 형성하고자 하는 연구가 활발히 진행되고 있다. 본 논문에서는 high-k 물질인
$TiO_2$ 의 특성에 대한 연구를 수행하였다.$TiO_2$ 를 APCVD법으로 p-type 실리콘 기판에$50{\AA}{\sim}300{\AA}$ 두께로 증착하였고, evaporator를 이용하여$TiO_2$ 박막위에 Al을 증착하여 MIS소자를 제작하였다. 두께를 가변 하여 Capacitance-Voltage (C-V) 특성을 측정, 분석하였다. -
CMOS Image Sensor(CIS) 소자에서 광감도의 향상과 천연색 형성을 위하여 적용하고 있는 Color-Filter 공정에서 국부적으로 발생하는 strip성 불량과 막질손상을 제거하기 위한 연구를 진행하였다. 우선 지역적 경향성을 보이는 불량에 대해서는 PR strip process type을 액조 진행방식에서 회전식으로 변경했을 때 제거됨을 확인하였고, 막질손상을 최소화하기 위해서는 새로운 유기용매의 적용이 필요하였다. 실험 결과, 케톤기를 가지는 화합물과 Polar Apotic 용매의 혼합화합물을 적용하였을 때 각 막질에 attack을 최소화하면서 원하는 PR만 선택적으로 제거 되며 미세잔류성분에 대한 제거력도 향상됨을 확인하였다.
-
NamGung, Hyeon;Hwang, Deok-Seong;Jang, Hyeong-Sun;Park, Sun-Byeong;Hong, Sun-Hyeok;Kim, Sang-Jong;Kim, Seok-Gyu;Kim, Gi-Jun;No, Yong-Han 97
For high performance transistor in the 0.14um generation, high speed sram is using a weak region of SCE(Short Channel Effect). It causes serious SCE problem (Vth Roll-Off and Punch-Through etc). This paper shows improvement of Vth roll-off and Ion/Ioff characteristics through high concentration Pocket implant, LDD(Light Dopped Dram) and low energy Implant to reduce S/D Extension resistance. We achieve stabilized Vth and Improved transistor Ion/Ioff performance of 10%. -
$BF_2$ molecule 이온주입은 ULSI기술에 있어서 ultra shallow 정합형성을 위해고 P-MOS를 제작하는데 매우 유용한 기술이다. 주입된 boron 이온의 분포를 위해서$0.05{\mu}m$ 나노스케일의 마스크사이즈의 패턴에 이온 주입한 결과를 일차원적인 분포해석을 위해서 UT-Marlowe tool을 사용하여 gauss 및 pearson 모델의 도핑분포를 나타내었다. 또한 이 데이터를 TSUPREM4에 적용하여 이차원적인 도핑분포와 열처리 후에 boron의 gauss 및 pearson의 모델의 도핑분포를 본 논문에 나타내었다. -
A stoichiometric mixture of evaporating materials for
$AgGaS_2$ single crystal thin films was prepared from horizontal electric furnace. To obtain the single crystal thin films.$AgGaS_2$ mixed crystal was deposited on thoroughly etched semi-Insulating GaAs(100) substrate by the hot wall epitaxy (HWE) system. The source and substrate temperatures were$590^{\circ}C$ and$440^{\circ}C$ , respectively. The temperature dependence of the energy band gap of the$AgGaS_2$ obtained from the absorption spectra was well described by the Varshni's relation,$E_g(T)=2.7284 eV-(8.695{\times}10^{-4} eV/K)T^2/(T+332 K)$ . After the as-grown$AgGaS_2$ single crystal thin films was annealed in Ag-, S-, and Ga-atmospheres, the origin of point defects of$AgGaS_2$ single crystal thin films has been investigated by the photoluminescence(PL) at 10 K. -
PRAM (Phase change Random Access Memory) is one of the most promising candidates for next generation Non-volatile Memories. The Phase change material has been researched in the field of optical data storage media. However, the characteristics required in solid state memory are quite different from optical ones. In this study, the reset current and temperature profile of PRAM cells with bottom electrode were calculated by the numerical method.
-
Lee, Dong-Jin;Lee, Jae-Hyeong;Ju, Jung-Hun;Lee, Jong-In;Jung, Hak-Kee;Jung, Dong-Su;Song, Jun-Tae 105
현재 투명 전도성 산화물로 널리 사용되고 있는 ITO등의 물질들이 갖는 문제점을 해결하기 위하여 ZnO 에 Ga이나 Al등의 불순물을 첨가하여 투명 전도성 재료로써 이에 대한 연구가 진행중에 있다. 본 연구에서는 RF 마그네트론 스퍼터법으로 Al이 첨가된 ZnO:Al Ceramic 타겟으로 Coring 1737 유리기판과 파손의 우려가 적고 유연성을 갖는$25{\mu}m$ 두께의 polyimide(PI) 기판위에 박막을 증착하여 특성을 비교 조사하였다. -
ZnO is a promising material for UV or blue LEDs p-Type ZnO thin films which are imperative for the p-n junction of LEDs are difficult to achieve because of strong compensation of intrinsic defects such as zinc interstitial and oxygen vacancy. The method of codoping group three elements and group five elements is effective for the realization of p-type ZnO films. In this study, We codoped N and Al m ZnO thin films by RF magnetron sputtering and annealed the films in sputtering chamber. Some films showed p-type conductivity m Seeback effect measurement.
-
Screen printing (SP) metal contact is typically applied to the solar cells for mass production. However, SP metal contact has low aspect ratio, low accuracy, hard control of the substrate penetration and unclean process. On the other hand, photo lithograpy (PL) metal contact can reduce defects by metal contact. In this investigation, PL metal contact was obtained the multi-layer structure of Ti/Pd/Ag by e-beam process. We applied SP metal contact and PL metal contact to single crystalline silicon solar cells, and demonstrated the difference of conversion efficiency. Because PL metal contact silicon solar cell has Jsc (short circuit current density) better than silicon solar cell applied SP metal contact.
-
In this paper, we investigated resistance characteristic of chalcogenide material for next generation ReRAM nonvolatile memory device with laser irradiation. A AES is used to test Ag doping ratio into a As-Ge-Se-S thin film. A sample resistance was observed in real time with He-Ne laser(632.8nm). As a result, resistance of thermal treated As-Ge-Se-S thin film was
$500{\Omega}$ which is smaller than initial$1.3M{\Omega}$ . A resistance of non-treated Ag/As-Ge-Se-S thin film was$200{\Omega}$ which is lower than$35M{\Omega}$ . -
In this paper. we investigated a characteristic of holographic grating data erasure with non-polarized beam at amorphous chalcogenide As-Ge-Se-S thin film. A sample of holographic grating data was formed with DPSS laser for setup. Then, the erasure process was performed with He-Ne laser vertically at sample. As-Ge-Se-S(single layer). Ag/As-Ge-Se-S(double layer) and As-Ge-Se-S/Ag/As-Ge-Se-S(multi-layer) are manufactured to compare their characteristic of erasure.
-
In the present study we investigated effects by changing binder contents m the carbon counter electrode for dye-sensitized solar cells. Binder contents changed for 6 wt%, 7 wt%, 8 wt% before making carbon electrode. In the result of the measurement the specific resistance for 6 wt% was lowest among others And the surface of the carbon electrode which was measured by SEM was best m the 6 wt"%. The electrode properties be showing in an experiment were due to increment of surface roughness that appeared the carbon electrode, which decreased internal surface area.
-
We investigated selective emitter effect of Porous Silicon (PSI) as antireflection coatings (ARC). The thin PSi layer, less than 100nm, was electrochemically formed by electrochemical method in about
$3{\mu}m$ thick$n^+$ emitter on single crystalline silicon wafer (sc-Si). The appropriate PSi formations for selective emitter effect were carried out a two steps. A first set of samples allowed to be etched after metal-contact processing and a second one to evaporate Ag front-side metallization on PSi layer, by evaluating the I-V features The PSi has reflectance less than 20% in wavelength for 450-1000nm and porosity is about 60%. The cell made after front-contact has improved cell efficiency of about in comparison with the one made after PSi. The observed increase of efficiency for samples with PSi coating could be explained not only by the reduction of the reflection loss and surface recombination but also by the increased short-circuit current (Isc) within selective emitter. The assumption was confirmed by numerical modeling. The obtained results point out that it would be possible to prepare a solar cell over 15% efficiency by the proposed simple technology. -
현재의 소자간 연결을 위해 사용되는 금속배선의 한계로 인해 보다 고속/대용량의 광연결(Optical Interconnection)이 크게 각광받고 있다. 본 논문에서는 FEM 시뮬레이션(Finite Element Method Simulation)을 통해 온도변화에 따른 기판에서의 온도분포를 살펴보고, 열응력 분포와 열응력 집중에 의한 기판의 변형으로 인한 문제를 연구하였다. 이를 통해 향후 Optical Passive Component 설계시 Optical Passive Component 변형의 원인이 될 수 있는 열원들의 배치를 최적화 시키고 기판의 취약부운을 보강하여 우수한 성능의 Optical Passive Component 제작을 목표로 하고 있다.
-
탄소나노튜브는 nm급의 크기에 높은 전기전도도, 열전도 효율, 감한 기계적 강도 등의 장점을 가지며, FED(Field Emission Display), 극미세 전자 스위칭 소자, SET(Single Electron Transistor), AFM(Atomic Force Microscope) tip등 여러 분야로의 응용을 연구하고 있다. 본 연구에서는 탄소나노튜브를 Si 웨이퍼 위에 Ni/Ti 금속층을 촉매층으로 사용하고, 암모니아(
$NH_3$ )가스와 아세틸렌 ($C_2H_2$ )가스를 각각 희석가스와 성장원으로 사용하여 합성하였다. 탄소나노튜브의 성장은 Hot filament 화학기상증측(HFPECVD) 방식을 사용하였으며, 이 방법은 다량의 합성, 높은 균일성, 좋은 정렬 특성등의 장점을 가진다. 성장 온도는 탄소나노튜브의 성장 특성을 변화시키는 중요한 요소이다. 성장 온도에 따라 수직적 성장, 성장 밀도등의 특성 변화를 관찰하였다. 성장된 탄소나노튜브층 성분 분석은 에너지 분산형 X-선 측정기(EDS)를 통해 관찰하였고, 끝단에 촉매층이 존재하는 30~50 nm 폭을 가진 다중벽 탄소나노튜브를 고배율 투과전자현미경(HRTEM) 분석을 통해 관찰하였다. 전계방사 주사전자현미경(FESEM) 분석을 동해 1~3${\mu}m$ 의 길이를 가진 탄소나노튜브가 높은 밀도로 성장된 것을 확인하였다. -
Single crystal of
$CdIn_2Te_4$ were grown by the Bridgman method without using seed crystals. From photocurrent measurements, its was found that three peaks, A, B, and C, correspond to the instrinsic transition from the valence band states of${\Gamma}_7$ (A),${\Gamma}_6$ (B), and${\Gamma}_7$ (C) to the conducton band states of${\Gamma}_6$ , respectively. Crystal field splitting and spin orbit splitting were found to be at 0.2360 eV and 0.1119 eV, respectively, from found to be photocurrent spectroscopy. -
본 연구에서는 KOH 용액을 사용한 Si 습식 이방성 식각실험 진행 후, 나타나는 표면의 거친 현상을 완화하는 데에 중점을 두고 연구를 진행하였다. 이를 위해
$SiO_2$ 웨이퍼 위에 Photo-lithography 공정으로 형성시킨 PMER 패턴을 Mask로 사용하여 HF 용액으로$SiO_2$ 를 식각시켰으며, 형성된$SiO_2$ 를 Mask로 사용하여 KOH 용액으로 Si을 식각시켰다. 이 때, KOH와 혼합하는 용액으로 IPA와 Ethan이을 각각 사용하여 실험을 진행하였으며, ESEM을 이용하여 표면을 비교하였다. -
The stochiometric mix of evaporating materials for the
$CdGa_2Se_4$ single crystal thin films was prepared from horizontal furnace. To obtain the single crystal thin films.$CdGa_2Se_4$ mixed crystal was deposited on thoroughly etched semi-insulating GaAs(100) substrate by the Hot Wall Epitaxy (HWE) system. The source and substrate temperature were$630^{\circ}C$ and$420^{\circ}C$ , respectively. The crystalline structure of single crystal thin films was investigated by the photoluminescence and double crystal X-ray diffraction (DCXD). The carrier density and mobility of$CdGa_2Se_4$ single crystal thin films measured from Hall effect by van der Pauw method are$8.27{\times}10^{17}cm^{-3}$ .$345cm^2/V{\cdot}s$ at 293 K, respectively. From the photoluminescence measurement on$CdGa_2Se_4$ single crystal thin film, we observed free excition ($E_x$ ) existing only high quality crystal and neutral bound exiciton ($D^{\circ},X$ ) having very strong peak intensity. Then. the full-width-at -half-maximum(FWHM) and binding energy of neutral donor bound excition were 8 meV and 13.7 meV, respectivity. By Haynes rule. an activation energy of impurity was 137 meV. -
The proposed differential LC cross-coupled VCO is implemented in InGap/GaAs HBT process for an adaptive Global Positioning system(GPS) application. Two filtering capacitors are used at the base of output buffer amplifiers at the both sides of the core m order to improve phase noise characteristics. The VCO produced a phase noise of -133 dBc/Hz at 3MHz offset frequency from the carrier frequency of 1.489GHz and the second harmonic suppression is significantly suppresed up to -49dBc/Hz in simulation result. The three pairs of BC diodes are integrated m the tank circuit to increase the VCO Tunning range.
-
A stoichiometric mixture of evaporating materials for
$CdIn_2S_4$ single crystal thin films was prepared from horizontal furnace. To obtain the single crystal thin films,$CdIn_2S_4$ mixed crystal was deposited on thoroughly etched semi-insulating GaAs(100) substrate by hot wall epitaxy(HWE) system. The source and substrate temperatures were$630^{\circ}C$ and$420^{\circ}C$ , respectively. After the as-grown$CdIn_2S_4$ single crystal thin films was annealed in Cd-, S-, and In-atmospheres, the origin of point defects of$CdIn_2S_4$ single crystal thin films has been investigated by the photoluminescence(PL) at 10 K. The native defects of$V_{cd}$ ,$V_s$ ,$Cd_{int}$ , and$S_{int}$ , obtained by PL measurements were classified as a donors or acceptors type. And we concluded that the heat-treatment m the S-atmosphere converted$CdIn_2S_4$ single crystal thin films to an optical p-type. Also. we confirmed that In in$CdIn_2S_4$ /GaAs did not form the native defects because In in$CdIn_2S_4$ single crystal thin films existed in the form of stable bonds. -
We experimentally demonstrated the synthesis of silicon nanoparticles by using high-density ablation plasma prepared by the interaction of an intense pulsed light-ion beam (LIB) with a target. known as the intense pulsed ion beam evaporation (IBE) method. Light emission was obtained from the silicon nanoparticles. It was determined that the ambient gas reaction is very important and useful method to obtain the photoluminescence from the silicon nanoparticles.
-
A simple doping method to fabricate a very thin channel body of the n-type fin field-effect-transistor (FinFET) with a 20 nm gate length by solid-phase-diffusion (SPD) process is presented. Using As-doped spin-on-glass as a diffusion source of arsenic and the rapid thermal annealing, the n-type source-drain extensions with a three-dimensional structure of the FinFET devices were doped. The junction properties of arsenic doped regions were investigated by using the
$n^+$ -p junction diodes which showed excellent electrical characteristics. Single channel and multi-channel n-type FinFET devices with a gate length of 20-100 nm was fabricated by As-SPD and revealed superior device scalability. -
Bismuth titanate (
$Bi_4Ti_3O_{12}$ , BIT) thin film has been studied intensively in the past decade due to its large remanent polarization, low crystallization temperature, and high Curie temperature. Substitution of various trivalent rare-earth cations (such as$La^{3+}$ ,$Nd^{3+}$ ,$Sm^{3+}$ and$Pr^{3+}$ ) in the BIT structure is known to improve its ferroelectric properties, such as remanent polarization and fatigue characteristics. Among them, neodymuim-substituted bismuth titanate, ((Bi, Nd)$_4Ti_3O_{12}$ , BNT) has been receiving much attention due to its larger ferroelectricity. In this study, Ferroelectric$Bi_{3.3}Nd_{0.7}Ti_3O_{12}$ thin films were successfully fabricated by liquid delivery MOCVD process onto Pt(111)/Ti/$SiO_2$ /Si(l00) substrates. Fabricated polycrystailine BNT thin films were found to be random orientations, which were confirmed by X-ray diffraction and scanning electron microscope analyses. The remanent polarization of these films increased with increase in annealing temperature. And the film also demonstrated fatigue-free behavior up to$10^{11}$ read/write switching cycles. These results indicate that the randomly oriented BNT thin film is a promising candidate among ferroelectric materials useful for lead-free nonvolatile ferroelectric random access memory applications. -
본 연구에서는 FeRAM 적용을 위한 BLT 캐패시터 제조시 CMP 공정압력 변화에 따른 Leakage Current의 특성에 대해서 연구하였다. 6-inch Pt/Ti/Si 웨이퍼를 사용하였으며, 기판 위에 졸-겔(Sol-Gel)법으로 모든 BLT를 스핀코팅을 이용하여 증착시켰다. 증착된 BLT는
$200^{\circ}C$ 에서 기본 열처리 후 다시$700^{\circ}C$ 에서 후속 열처리 하였다. 이러한 과정을 두번 반복하였며, FeRAM 적용을 위한 BLT 캐패시터 제조시 CMP 공정 중 압력 변화를 달리하여 BLT 캐패시터를 제조한 후 Leakage Current를 측정하였다. 결과적으로 CMP 공정 시 압력의 증가에 따라 Leakage Current값이 증가하였다. CMP 공정시 압력과 박막 표면의 스크레치로 증가로 인해 Leakage Current의 증가하였다고 판단된다. -
PZT박막은 비휘발성 재료로 유전율이 높고 항전력이 작으면서 잔류 분극랑이 크기 때문에 적합한 특성을 가지고 FeRAM에 매력적인 물질이다. CMP(chemical mechanical polishing)는 기존의 회생막의 전면 식각 공정과는 달리 특정 부위의 제거 속도를 조절함으로써 평탄화 하는 기술로 wafer 전면을 회전하는 탄성 패드 사이에 액상의 Slurry를 투입하여 연마하는 기술이다. 본 논문에서는 CMP 공정으로 제조한 PZT박막 캐패시터에서 CMP 후처리공정(세척)의 유무 및 종류에 따라 피로특성에 대하여 연구하였다, PZT 박막의 캐패시터의 피로 특성을 연구한 결과 CMP 후처리공정 SC-l용액을 사용하여 세정공정을 하였을때 가장 향상된 PZT 캐패시터의 피로특성이 나타났다.
-
Thermoelectric properties and microstructures of
$Sr_{8-x}Ba_xGA_{16}Ge_{30}$ alloys fabricated by the arc-melting method were investigated. The alloys with the nominal composition of$Sr_8Ga_{16}Ge_{30}$ and$Ba_8Ga_{16}Ge_{30}$ were the single-phase alloys, while those of$Sr_4Ba_4Ga_{16}Ge_{30}$ and$Sr_2Ba_6Ga_{16}Ge_{30}$ were two-phases alloys. Electrical resistivity and the Seebeck coefficient for both two-phases alloys were higher in magnitude than those of the single-phase alloys between room temperature and 873K The thermal conductivities for both two-phase alloys were reduced with respect to those of the single-phase alloys in the whole temperature range. The maximum values of ZT for$Sr_4Ba_4Ga_{16}Ge_{30}$ and$Sr_2Ba_6Ga_{16}Ge_{30}$ were achieved with the values of 0.69 at 753K and 0.51 at 754K, respectively, while those of$Sr_8Ga_{16}Ge_{30}$ and$Ba_8Ga_{16}Ge_{30}$ were 0.86 at 758K and 0.76 at 943K, respectively. -
Thermoelectric properties of
$Sr_8Ga_{16}Ge_{30}$ and$Ba_8Ga_{16}Ge_{30}$ clathrates were investigated in the temperature range between 323K and 923K. Both clathrates were fabricated by the arc-melting method. Homogeneous single phases were observed in the annealed clathrates. Electrical resistivities for both clathrates were increased as the temperature increased up to 823K. The sign of the Seebeck coefficients for both clathrates was negative, which means that the major carriers were electrons. The maximum values of ZT for$Sr_8Ga_{16}Ge_{30}$ and$Ba_8Ga_{16}Ge_{30}$ were 0.86 at 773K and 0.76 at 923K, respectively. -
Alanysis of the Optical Properties of p-type ZnO Thin Films Doped by P based on Ampouele-tube MethodThe most Important research topic in the development of ZnO LED and LD is the production of p-type ZnO thin film that has minimal stress with outstanding stoichiometric ratio. In this study, Phosphorus diffused into the undoped ZnO thin films using the ampoule-tube method for the production of p-type znO thin films. The undoped ZnO thin films were deposited by RF magnetron sputtering system on
$GaAs_{0.6}P_{0.4}$ /GaP and Si wafers. 4N Phosphorus (P) was diffused into the undoped ZnO thin films in ampoule-tube which was performed and$630^{\circ}C$ during 3hr. We found the diffusion condition of the conductive ZnO films which had p-type properties with the highest mobility of above 532$cm^2$ /Vs compared with other studies PL spectra measured at 10K for the purpose of analyzing optical properties of p-type ZnO thin film showed strong PL intensity in the UV emission band around 365nm ~ 415nm and 365nm ~ 385nm. -
Ge nanocrystals(NCs)-embedded MOS capacitors are charactenzed in this work using capacitance-voltage measurement. High-k dielectrics
$HfO_2$ are employed for the gate material m the MOS capacitors, and the C-V curves obtained from$O_2-$ and$NH_3$ -annealed$HfO_2$ films are analyzed. -
Kang, Jeong-Min;Keem, Ki-Hyun;Youn, Chang-Jun;Yeom, Dong-Hyuk;Jeongm, Dong-Young;Kim, Sang-Sig 149
A ZnO nanowire-based FET is fabricated m this study on a flexible substrate of PES. For the flat and bent flexible substrates, the current ($I_D$ ) versus drain-source bias voltage ($V_{DS}$ ) and$I_D$ versus gate voltage ($V_G$ ) results are compared. The flat band was Ion/Ioff ratio of${\sim}10^7$ , a transconductance of 179 nS and a mobility of ~10.104 cm2/Vs at$V_{DS}$ =1 V. Also bent to a radius curvature of 0.15cm and experienced by an approximately strain of 0.77 % are exhibited an Ion/Ioff ratio of${\sim}10^7$ , a transconductance of ~179 nS and a mobility of${\sim}10.10 cm^2/Vs$ at$V_{DS}$ = 1V. The electrical characteristics of the FET are not changed very much. although the large strain is given on the device m the bent state. -
Kim, Bong-Seo;Yang, Jun-Hyeok;Oh, Min-Wook;Park, Su-Dong;Lee, Hee-Woong;Park, Kyu-Seop;Bae, Dong-Sik 151
Bi-Te thermoelectric powder was fabricated by mechanical alloying method for 1 to 10 hours under vacuum in planetary mill. We investigated the properties of mechanically alloyed Bi-Te powder by thermal analysis, X-ray diffractometer and FESEM with EDS Bi-Te raw material was formed to$Bi_2Te_3$ phase at condition over 3.5 hours of mechanical alloying time. -
ZnO 박막은 II-VI족 화합물 반도체로서 상온에서 3.37eV 의 넓은 밴드갭을 가지고 있을 뿐만 아니라 GaN(28meV) 보다 상온에서 큰 엑시톤 결합 에너지(60meV)와 열 안정성을 가지고 있다. 특히 ZnO를 base로 한 2차원의 화합물 (MgZnO, CdZnO 그리고 MgO) 반도체 물질은 UV LED, 생 화학 센서와 투명전극 등으로 응용이 가능하다. ZnO/MgZnO 양자우울 구조의 양자제한 효과로 인한 엑시톤 결합에너지와 전기적 광학적 특성 향상으로 광전자 소 자 제작이 가능하다. 그렇지만, Zn-Mg 상평형도에서 ZnO 내에 Mg 고용도가 상온에서 열역학적으로 4at% 이하 이고, 또한 ZnO와 MgO는 각각 우르짜이트 구조와 면심입방 구조를 가지기 때문에 Mg 함량용 높이는데 어려움이 있다. 이러한 문제점을 해결하기 위해 열처리를 함으로써 MgZnO 박막 내에 Mg 함량의 증가와 결정성 향상으로 고품질의 광전자 소자 제작을 가능하게 했다. 본 실험에서는 RF 마그네트론 스퍼터링 장비로 MgZnO 박막 성장 후 Si 기판위에 성장된 박막의 결정성 향상과 MgZnO 내의 Mg 함량 변화를 관찰하기 위해 성장된 박막에 대한 열처리 효과를 연구 하였다.
-
This paper describes a tracking test results for the organic polymers with PE(polyethylene) series by a declining method. After processing the 4 PE series insulations with different density, the tracking duration for each was measured and compared with that for ABS(acrylonitrile butadiene styrene). The surface condition of each specimen was carefully observed after the tracking test. As a result, HDPE showed excellent performance in tracking test.
-
To improve mean-life and reliability of power cable in this study, we have investigated chemical properties showing by changing the content of Carbon nanotube(CNT) that is semiconductive additives for underground power transmission. Specimens were made of sheet form with the three of existing resins and the five of specimens for measurement. Chemical properties of specimens was measured by FT-ATR (Fourier Transform Attenuated Total Reflectance). The condition of specimens was a solid sheet. We could observe functional group (C=O, carbonyl group) of specimens through FT-ATR. From these experimental result, the concentration of functional group (C=O) was high according to increasing the content of Carbon nanotube. We could know CNT/EEA was excellent more than other specimens from above experimental results.
-
Shin, Dong-Hoon;Yang, Jong-Seok;Lwin, Kyaw-Soe;Lim, Jong-Cheon;Hwang, Doo-Hyun;Park, Noh-Joon;Park, Dae-Hee 158
The system measuring the Partial discharge(PD) is very essential to investigate the hazard defects in the insulation systems of the high voltage engineering. We included two parts in this discussion; The proposed method of Foil Sensor Array and the normally used method m the practice. Firstly, it will be shown the improved sensitivity of our proposed FSA sensor compare with the existing normal foil sensor. And then, the linearity of detecting sensitivities of various kinds of FSA sensors such as$2{\times}2$ ,$2{\times}4$ ,$3{\times}3$ etc. using in our experiments, was shown. From the obtained results, we can see that FSA sensor is more sensitivity than normal foil sensor and the linear increment property of FSA sensitivities. -
To Improve the mean-life and the reliability of power cable, we have investigated thermal conductivity of XLPE insulator and semiconducting materials in l54[kV] underground power transmission cable. Specimens were made of sheet form with the nine of specimens for measurement. Thermal conductivity were measured by Nano Flash Diffusivity thermal conductivity measurement temperature ranges of XLPE insulator were from 20[
$^{\circ}C$ ] to 90[$^{\circ}C$ ], and the heating rate was 1[$^{\circ}C$ /mm]. In case of semiconducting materials. the measurement temperature ranges of thermal conductivity were from 20[$^{\circ}C$ ] to 60[$^{\circ}C$ ], and the heating rate was 1[$^{\circ}C$ /min]. -
Ahn, Byung-Chul;Kim, Tag-Yong;Lee, Kang-Won;Lee, Duck-Jin;Shin, Jong-Yeol;Lee, Chung-Ho;Hong, Jin-Woong 162
XLPE is used to insulator for Ultra High Voltage power cable. It is easy to processing also has a good insulating property. The study for diagnosis of lifetime and improvement is proceeding continuously. In this paper, it is investigated partial discharge distribution according to slope of needle electrode 0, 20,$40^{\circ}$ . Applied voltage is 0.5 [kV/s] by step form for inception voltage. As the result of study, we conformed that increase of slope led to reduce of discharge number and total discharge quantity. -
Carbon nanotube(CNT)-reinforced poly(ethylene-co-ethyl acrylate)(EEA) nanocomposites were prepared by melt mixing with a Haake internal mixer. The CNT loading was vaned from 0 to 20 wt%. The changes m CNT dispersion and shape were investigated with FE-SEM observation with and without the Tensile strain of 40%. The CNT was protruded over the fracture surface upon Tensile strain, which is a very interesting behavior.
-
Dendrimers represent a new class of synthetic macromolecules characterized by a regularly branched treelike structure. Multiple branching yields a large number of chain ends that distinguish dendrimers from conventional star-like polymers and microgels. The azobenzene dendrimer is one of the dendrimeric macromolecules that include the azo-group exhibiting a photochromic character. Due to the presence of the charge transfer element of the azo-group and its rod-shaped structure, these compounds are expected to have potential interest in electronics and photoelectronics, especially in nonlinear optics. In the present paper, we give pressure stimulation to organic thin films and detect the induced displacement current. Functional photoisometrization organic molecular the photo-stimulus to organic monomolecular L-films and LB films of dendrimer and 8A5H were performed. The 8A5H organic monolayer in case of pressure stimulus occurred that positive course but in case of the photo-stimulus compared positive and negative. It is assumed that generation forms of displacement current were measured when photo-stimulus for Impression.
-
The physical properties of DMPC monolayer were made for dielectric relaxation phenomena by the detection of the surface pressures and displacements current. The phospolipid monolayer of dielectric relaxation takes a little time and depend on the molecular area.
-
The chemical shift of SiOC film was observed according to the flow rate ratio. SiOC film has the broad main band of
$880{\si m}1190cm^{-1}$ and the sharp Si-$CH_3$ bond at$1252cm^{-1}$ , and the infrared spectra in the Si-O-C bond moved to low frequency according to the increasing of an oxygen flow rate. The chemical shift affected the carbon content in the SiOC film, and the decreasing of carbon atoms elongated the C-H bonding length, relatively. The main bond without the sharp Si-$CH_3$ bond at$1252cm^{-1}$ consisted of Si-C, C-O and Si-O bonds, and became the bonding structure of the Si-O-C bond. -
Park, Jun-Seo;Lee, Gwang-Geun;Park, Kwang-Hun;Jeon, Ho-Seung;Im, Jong-Hyun;Park, Byung-Eun;Kim, Chul-Ju 172
Metal-ferroelectric-insulator-semiconductor (MFIS) structures with$Bi_{3.35}La_{0.75}Ti_3O_{12}$ (BLT) ferroelectric film and Zirconium oxide ($ZrO_2$ ) layer were fabricated on p-type Si(100).$ZrO_2$ and BLT films were prepared by sol-gel technique. Surface morphologies of$ZrO_2$ and BLT film were measured by atomic force microscope (AFM). The electrical characteristics of Au/$ZrO_2$ /Si and Au/BLT/$ZrO_2$ /Si film were investigated by C-V and I-V measurements. No hysteretic characteristics was observed in the C-V curve of the Au/$ZrO_2$ /Si structure. The memory window width m C-V curve of the Au/BLT/$ZrO_2$ /Si diode was about 1.3 V for a voltage sweep of${\pm}5$ V. The leakage current of Au/$ZrO_2$ /Si and Au/BLT/$ZrO_2$ /Si structures were about$3{\times}10^{-8}$ A at 30 MV/cm and$3{\times}10^{-8}$ A at 3 MV/cm, respectively. -
In this paper, AC dielectric strength of the interface between Epoxy and EPDM (ethylene propylene diene terpolymer) was investigated. Air compress system was used to give pressure to the interface. Specimens were prepared in various ways to generate different surface conditions for each type of interface. Increasing interfacial pressure, decreasing surface roughness and spreading oil over surfaces improve the AC interfacial dielectric strength. Especially, the dielectric strength was saturated at certain interfacial pressure.
-
Two kinds of alumina nanofluids are prepared by dispersing
$Al_2O_3$ nanoparticles m transformer oil. The thermal conductivity of the nanoparticle-oil mixtures increases with particle volume fraction and thermal conductivity of the solid particle itself. The$Al_2O_3$ nanoparticles at a volume of 0.5% can increase the thermal conductivity of the transformer oil by 5.7%, and the overall heat transfer coefficient by 20%. From the natural convection test using a prototype transformer, the cooling effect of$Al_2O_3$ -oil nanofluids on the heating element and oil itself is confirmed. However, excessive quantities of the surfactant have a harmful effect on viscosity, and thus it is strongly recommended to control the addition of the surfactant with great care. -
나노 크기를 가지는 DNA 분자를 template로 사용하여 전도성 고분자의 일종인 polypyrrole nanowire를 합성하였다. 본 논문에서 합성된 polypyrrole nanowire는 단량체인 pyrrole과 산화제와의 화학적인 반응에 의해 만들어졌다. 먼저 DNA 분자를 APTES(3-aminopropyltriethoxysilane) modified Si surface 위에 정렬한다. 그리고 이 기판을 농도를 달리한 pyrrole solution에서 incubationn한다. 마지막으로 APS (ammonium persulfate)와 반응시켜 conductive nanowire를 합성하였다. SEM을 이용하여 silicon 기판위에 1차원적으로 정렬된 나노 크기를 가지는 polypyrrole nanowire를 관찰할수 있었다. 그리고 pyrrole의 농도에 따라 nanowire의 uniformity를 조절할 수 있었다.
-
Kim, T.H.;Kim, H.S.;Ha, H.S.;Yang, J.S.;Lee, N.J.;Ha, D.W.;Oh, S.S.;Song, K.J.;Jung, Y.H.;Pa, K.C. 180
As a rule, high temperature superconducting coated conductors have multi-layered buffers consisting of seed, diffusion barrier and cap layers. Multi-buffer layer deposition requires longer fabrication time. This is one of main reasons which increases fabrication cost Thus, single buffer layer deposition seems to be important for practical coated conductor process. In this study, a single layered buffer deposition of$CeO_2$ for low cost coated conductors has been tried using thermal evaporation technique 100nm-thick$CeO_2$ layers deposited by thermal evaporation were found to act as a diffusion layer.$0.4{\mu}m$ -thick SmBCO superconducting layers were deposited by thermal co-evaporation on the$CeO_2$ buffered Ni-W substrate. Critical current of 118A/$cm^2$ was obtained for the SmBCO coated conductors. -
An electromagnetic memory effect observed in superconducting YBCO system was studied. From the measurement of differential conductance, it was cleared that the mechanism of electromagnetic memory can not be explained by using conventional flux flow model. By changing the density of external magnetic flux, changes m inductance of a coil in which a superconducting bar is inserted were also measured. It was concluded that the electromagnetic memory effect aries from the interaction between the trapped magnetic flux and the weak link of the filament formed in the superconducting bar.
-
Han, Tae-Hee;Cho, Yong-Sun;Park, Hyoung-Min;Nam, Guong-Hyun;Lee, Na-Young;Choi, Hyo-Sang;Lim, Sung-Hun;Chung, Dong-Chul;Hwang, Jong-Sun;Choi, Myoung-Ho;Han, Byoung-Sung 184
The flux-lock type superconducting fault current limiter (SFCL) has the attractive characteristics that can adjust the current limiting level by the turns ratio between two coils. Since the recovery characteristics of a superconducting element m the flux-lock type SFCL were dependent on the turns ratio between two coils, the analysis for the recovery characteristics of this type SFCL together with the current limiting characteristic is necessary to apply it to power system. When the applied voltage and load impedance were same, the recovery time of the superconducting element was 0.32sec in case that the turn's ratio between the primary and secondary windings was 63:21. In the meantime, when the turn's ratio of secondary winding increased to 3 times, the recovery time became longer to 0.58sec. -
The development of SFCL (Superconducting Fault Current Limiter) is getting more important as the power demand is increased rapidly. Up to now, several kinds of SFCL have been proposed and it is expected that they will be applied to appropriate position considering their own properties. Amongst those proposed SFCL, flux-lock type SFCL using the magnetic cancelation for current limiting has the advantages of overcoming the technical difficulties that other types of SFCLs have. In this paper, the integrated three-phase flux-lock type SFCL was fabricated and its operational modes were investigated through the short circuit tests. The operational mode were to divided into four mode according to the variation of the currents flowing into the secondary winding connected the superconducting elements and the speed of the quench generation. It was expected that the improvement of current limiting characteristics of the SFCL could be possible through control of the operational mode.
-
Lim, Sung-Hun;Han, Tae-Hee;Park, Hyoung-Min;Cho, Yong-Sun;Song, Jae-Joo;Choi, Myoung-Ho;Hwang, Jong-Sun;Choi, Hyo-Sang;Han, Byoung-Sung 188
The flux-lock type superconducting fault current limiter (SFCL) has the attractive characteristics that can adjust the current limiting level by the turns ratio and the winding direction of two coils. To apply this type SFCL into power system, the analysis for the recovery characteristics of it together with the current limiting characteristic is needed. In this paper, the experiments of the current limiting and the recovery characteristics of the flux-lock type SFCL with YBCO thin film were performed. The recovery characteristics of the flux-lock type SFCL dependent on the winding direction of two coils were analyzed through the comparison with the resistive type SFCL. -
본 연구에서는 압전 세라믹을 이용한 Piezoelectric Energy Harvesting Systems을 개발하기 위해서, 바이몰프 액츄에이터를 제작하여 구동속도에 따른 발전특성을 고찰하였다. 또한 발전 회로시스템을 설계하여 압전소자에 의한 발전특성을 분석하였다. 본 시스템을 통해서 에 1.3 mm(100 V 인가)의 대변위 바이몰프 액츄에이터를 제작하였으며, 이런 액츄에이터를 이용하여 60 mW급의 LED를 구동하였다.
-
A 16Mb ITIC FeRAM device was fabricated with BLT capacitors. The average value of the switchable 2 polarization obtained m the 32k-array (unit capacitor size: 068
${mu}m^2$ ) capacitors was about 16${\mu}C/cm^2$ at 3V and the uniformity within an 8-inch wafer was about 2.8%. But a lot of cells were failed randomly during the measuring the bit-line signal of each cell. It was revealed that the Grain size and orientation of the BLT thin film were severely non-uniform. Therefore, the uniformity of the grain size and orientation was improved by changing the process conditions of post heat treatment. The temperature of nucleation step was the very effective on varying the microstructure of the BLT thin film. The optimized temperature of the nucleation step was$560^{\circ}C$ . -
개인 휴대 통신 기기의 급속한 발달로 인해 부품의 소형화, 고집적화가 중요한 요소로 대두되고 있으며 이를 위해서는 모듈내부에 3차원적인 수동소자의 내장이 가능한 LTCC (Low Temperature Co-fired Ceramics) 공정이 각광받고 있다. Embedded Capacitor를 제조하기 위해 유전율이 7.6과 6.5인 LTCC 재료를 이종접합 하여 제조하였으며 이종재료의 수축거동 차이에 의한 camber가 발생하였다. 이를 해결하고 또한 고주파 부품용 정밀회로 패턴을 구 현하기 위해 PLAS 방식의 Constrained Sintering 공정을 적용하여 camber 문제를 해결하였으며 capacitance 값이 두 이종재료의 유전율과 1:1로 비례하지 않았는데 이는 유전율 65 tape에 잔존하는 기공 때문으로 판단되며 미세구조로써 확인하였다.
-
In this study, low temperature sintering multilayer piezoelectric transformer for DC-DC converter were manufactured using (PbCaSr)Ti(MnSb)
$O_3$ ceramics and thin their electrical properties were investigated according to the vanation of frequency and load resistance. The voltage step-up ratio of multilayer piezoelectric transformer showed the maximum value m the vicinity of 1.3MHz and increased according to the increase of load resistance. When the output impedance coincided with the load resistance, the piezoelectric transformer showed the temperature rise of about$21^{\circ}C$ under the output power of 6W. -
SnO2가 첨가된 In2O3(ITO) sputtering 타켓은 넓은 파장영역에서의 투광성과 높은 전기전도도의 특성 때문에 여러 종류의 평판형 디스플레이 제품에 사용되고 있다. 사용된 In2O3와 SnO2 분말은 높은 순도의 금속을 사용하였으며, 공질법을 이용하여 분말을 제조하였으며, 혼합된 In2O3-SnO2 분말은 하소조건과 소결조건에 따라 특성을 평가 하였다. 본 연구의 목적인 ITO sprttering 타켓의 SnO2 분산조건은 하소 온도가 증가함에 따라 분산성이 뛰어났으며, 조사된 30wt% 에서 5wt%로 SnO2의 함량이 감소함에 따라 분산성은 향상되었다. 이러한 결과들로부터 ITO 타켓 밀도와 SnO2의 분산성은 1150C 이상에서 휘발하는 SnO2의 량에 의해 크게 영향을 받는다.
-
Lee, Kyu-Il;Kim, Eung-Kwon;Lee, Tae-Yong;Kim, Bong-Suk;Ju, Jung-Hun;Lee, Jae-Hueong;Song, Jun-Tae 199
Transparent conductive alum mum-doped ZnO (AZO) films have been prepared on polycarbonate (PC), Coring 7059 and Quartz substrates by DC sputtering method at room temperature. Films deposited was evaluated about spectra of X-ray diffraction and transmittance and characteristics of films deposited as kind of substrate was compared. Films deposited showed (002) orientation and all AZO films are transparent over 80% within the visible wavelength region. -
영구자석은 크게 Hard ferrite와 희토류계 자석, 그리고 Alnico 주조자석으로 구별되어진다. 그동안 Hard ferrite는 산업적으로 전자기 응용제품 또는 각종 구동 모터에 응용되어 왔지만, 최근 Nd계 희토류 자것이 고성능 모터의 소재로 급격히 대체되고 있다. 하지만, 희토류계 원료에 비해 동일 중량 대비 40~60배 가량 저렴한 Hard ferrite의 사용은 현재까지도 꾸준히 유지되고 있으며, 최근 자동차 고성능 모터용 Sr ferrite의 개발이 연구 중이다.[2] 본 연구에서는 제일원리 전산모사를 통하여 HCP 구조의 기본 Unit Cell 64개 원자를 가진 Sr-ferrite의 격자상수를 계산하여 기존 연구결과와 비교하였으며, 자화에너지와 자기모멘트를 계산하였다. 또한 향후 각종 첨가물의 영향에 대한 연구를 위해 기본 구조 및 치환 구조에 대해 고찰하였다. 그 결과 가장 안정한 에너지를 갖는 격자상수는 a=5.88, b=23.03으로 계산되어 Kimura et al의 측정 결과와 유사한 결과를 얻을 수 있었으며,
$E_F$ 가 3.9171,$M_B$ 는 46.6481로 계산되었다. 항후 Sr-ferrite의 구조에서 Fe atom의 일부를 동일주기 원소인 Cr, Mn, Co, Ni, Cu로 치환하여 자기적 특성을 계산하여 본 연구결과와 비교하고자 한다. -
Nano-Varistors fabricated with ZnO 30nm and 80nm powders were studied about the electrical characteristics with AC accelerated degradation in this paper Especially, ZnO nano-powder varistors were sintered m air at
$1050^{\circ}C$ and analyzed the phenomenons of before and after AC degradation test. The stress conditions of AC degradation test were$1.0V_{1mA}$ $115{\pm}2^{\circ}C$ for 24h. 80nm-varistor was exhibited better performance than 30nm-varistor m the electrical stabilities. And then 80nm-varistor resulted m the degradation characteristics that the variation rate of operating voltage, nonlinear coefficient and leakage current was -0 3%, -0 4% and -3 3%, respectively. -
(BaSr)
$TiO_3$ thick films were prepared by tape casting method, using$BaTiO_3$ and$SrTiO_3$ powder slurry in order to investigate dielectric properties. With MgO additives, the sintering density was 5.8$g/cm^3$ and the BST sample exhibited the maximum dielectric constant, tunability at temperatures near phase transition point. Dielectric loss be on the decrease because the interface is not a pore. BST sample be applicable on tunable device. -
Kim, Jeong-Gon;An, Jun-Ho;Seo, Jeong-Du;Kim, Jeong-Gyu;Gyeon, Myeong-Ok;Lee, Won-Jae;Kim, Il-Su;Sin, Byeong-Cheol;Gu, Gap-Ryeol 207
We investigated the effects of hydrogen addition to the growth process of SiC single crystal using sublimation physical vapor transport(PVT) techniques. Hydrogen was periodically added to an inert gas for the growth ambient during the SiC bulk growth Grown 2"-SiC single crystals were proven to be the polytype of 6H-SiC and carrier concentration levels of about$10^{17}/cm^3$ was determined from Hall measurements. As compared to the characteristics of SiC crystal grown without using hydrogen addition, the SiC crystal without definitely exhibited lower carrier concentration and lower microplpe density as well as reduced growth rate. -
Kim, Kwan-Soo;Yoon, Sang-Ok;Lee, Joo-Young;Kim, Nam-Hyeop;Lee, Joo-Sik;Shim, Sang-Heung;Park, Jong-Guk 208
Sinterability and microwave dielectric properties of the$Zr1-x(Zn_{1/3}Nb_{2/3})xTiO_4$ (x=4, 6) system ceramics have been investigated as functions of zinc-borosilicate(ZBS) glass contents and amount of$Zn_{1/3}Nb_{2/3}O_2$ substitution with a view to applying the composition to LTCC technology. The addition of 25 wt% ZBS glass ensured successful sintering below$925^{\circ}C$ . With increasing ZBS glass and$Zn_{1/3}Nb_{2/3}O_2$ contents increased dielectric constant and sinterability but addition ZBS glass decreased the quality factor significantly due to the formation of an excessive liquid and second phases. The sintered$Zr4(Zn_{1/3}Nb_{2/3})6TiO_4$ system ceramics at$925^{\circ}C$ with 25 wt% ZBS glass demonstrated 27.7 in dielectric constant (${\varepsilon}_r$ ), 3,850 m quality factor($Q{\times}f_0$ ), and +6 ppm/$^{\circ}C$ in temperature coefficient of resonant frequency($\tau_f$ ). -
Dense
$((Na_{0.5}K_{0.5})_{1-x}Li_x)(Nb_{0.8}Ta_{0.2})O_3$ ceramics were developed by conventional sintering process. The electrical properties of$((Na_{0.5}K_{0.5})_{1-x}Li_x)(Nb_{0.8}Ta_{0.2})O_3$ ceramics were investigated as a function of Li substitution. When the sample sintered at$1100^{\circ}C$ for 4 h with the Substitution of 2 mol% Li, electro-mechanical coupling factor ($k_p$ ) and piezoelectric coefficient ($d_{33}$ ) were found to reach the highest values of 0.42 and 210 pC/N, respectively. -
BSCT(60/30/10) powder specimens were fabricated by sol-gel method and BSCT thick films were fabricated by screen-printing method. The coating and drying procedure was repeated 6 times. Then the structural properties as a function of the sintering temperature. As a result of the TG-DTA, exothermic peak was observed at around
$670^{\circ}C$ due to the crystalline phase. The BSCT sintered at$1430^{\circ}C$ showed the cubic perovskite structure. The prosity and thickness of the BSCT thick films was decreased with sintering temperature. The thickness of BSCT thick films at$1420^{\circ}C$ was approximately$40{\mu}m$ . -
In this study, in order to develop the composition ceramics for low loss and low temperature sintering multilayer piezoelectric actuator, PMN-PZN-PZT ceramics were fabricated using two stage calcination method and
$Li_2CO_3$ ,$Bi_2O_3$ and CuO as sintering aids and their piezoelectric characteristics were investigated according to the 2nd calcination and sintering temperature. At the calcination temperature of$750^{\circ}C$ and sintering temperature of$930^{\circ}C$ , density, electromechanical coupling factor ($k_p$ ), mechanical quality factor ($Q_m$ ), Dielectric constant (${\varepsilon}_r$ ) and piezoelectric constant ($d_{33}$ ) of specimen showed the optimum value of$7.94g/cm^2$ 0.581, 1554, 1555 and 356pC/N, respectively for multilayer piezoelectric actuator application. -
In this study, In order to develop the low temperature sintering multilayer piezoelectric actuator, PMN-PNN-PZT system ceramics were manufactured with the sintering temperature, and their microstructure and piezoelectric properties were investigated. At the composition ceramics sintered at
$900^{\circ}C$ , dielectric constant(${\varepsilone}_r$ ), electromechanical coupling factor($k_p$ ), piezoelectric constant($d_{33}$ ) and mechanical quality factor(Qm) showed the optimal value of 1095, 0.60, 363 and 1055, respectively, for multilayer piezoelectric actuator application. -
In this study, m order to develop multilayer ceramics vibrator for ultrasonic nozzle, PMN-PNN-PZT ceramics were fabricated using
$Li_2CO_3-Na_2CO_3$ as sintering aids and their piezoelectric and dielectric characteristics were investigated according to the aomunt of PbO. With increasing PbO contents. secondary phases were increased and phase structure were changed from tetragonal structure to rhombohedral structure and also electromechanical coupling factor were linearly decreased. At the composition ceramics with the sintering temperature of$870^{\circ}C$ and 1 mol% excess PbO, density, electromechanical coupling factor, dielectric constant, piezoelectric d constant and mechanical quality factor showed the optimum value of$7.879g/cm^2$ , 0.55, 1149, 328pC/N, 1224, respectively for multilayer ceramics vibrator application for ultrasonic nozzle. -
The SMD type P-N junction diode with ceramic package for diode case were fabricated. It was made this diode with simple process from
$Al_2O_3$ ceramic chip, solder preform, diode chip, coating reagent and conductive paste for chip terrmination. Its merit is small size, easy manufacture. fast cooling with ceramic case. The electric characteristics of the diode such as reverse recovery time, breakdown voltage, forward voltage, and leakage current were 5 28ns, 1322V, 1.08V,$0.45{\mu}A$ . -
This paper present a new disk-type piezoelectric transformer. The input side of the transformer has a crescent-shaped electrode and the output side has a focused poling direction. This transformer has multi-layered structure. The piezoelectric transformers operated m each transformer's resonance vibration mode. The electrodes and poling directions on commercialy available piezoelectric ceramic disks were designed so that the planar or shear mode coupling factor (
$k_p,\;k_{15}$ ) becomes effective rather than the transverse mode coupling factor ($k_{31}$ ). The Resonance frequency is 65.22[kHz] and maximum voltage step-up ratio is 149. Multi-layered transformer has better efficiency and step-up ratio than the single-layered transformer. -
Multilayer step down piezoelectric transformers were manufactured using PZN-PMN-PZT and PMN-PNN-PZT ceramics respectively. Sintering temperature of the ceramics was
$940^{\circ}C$ . And then. their electrical properties were investigated according to the variations of frequency and load resistance. The voltage step-up ratio of multilayer piezoelectric transformer showed the maximum values in the vicinity of 69 and 71kHz, respectively. At the load resistance of$100{\Omega}$ , the piezoelectric transformers showed the temperature rises of about 21 and$18^{\circ}C$ at the output power of 15W and 18W, respectively. At the transformer with high effective electromechanical coupling factor($k_{eff}$ ), lower temperature increase was appeared. -
본 연구에서는 초음파 모터 등의 고출력 액츄에이터에 응용 가능한
$04Pb(Ni_{1/3}Nb_{2/3})O_3-6Pb(Zr_xTi_{1-x})O_3$ 조성시스템에 소결온도를 달리하여 압전, 유전특성 및 미세구조에 관해 고찰하였다. 본 조성을$1200^{\circ}C$ 온도에서 2, 4, 6, 8시간 소결하여 시편을 제조하였으며 이의 결정구조 및 미세조직을 분석하였다. 소결시간 증가에 따라 전기기계결합계수와 기계적품질계수는 증가하였으며, 압전상수는 Zr(Zr+Ti)비 0.390까지 증가하였으나 그 이상 증가함에 따라 감소하였다. 압전특성은$1200^{\circ}C$ 에서 4시간 소결한 Zr=0.390인 조성에서${\varepsilon}_r$ = 4487,$k_p$ = 0.72.$d_{33}$ = 710,$Q_m$ =109의 우수한 특성을 나타내었다. -
In this paper, we examined effects by the binder in manufacturing titanium dioxide film for dye sensitized solar cell. Binder(PEG and PEO) was added the amount of 10-40wt% to commercial
$TiO_2$ (P25), Respectively. All of$TiO_2$ film was showed porous structure owing to the addition of binders. But the difference of film's porosity could not be confirmed. The transmittance of$TiO_2$ film was decreased with the increase of binder's amount, generally. In case of 20wt%, however. The transmittance of$TiO_2$ films was showed the highest value. -
SiC single crystal grown on a seed with an inserted epitaxial layer for the power device applicationAn, Jun-Ho;Kim, Jeong-Gon;Seo, Jeong-Du;Kim, Jeong-Gyu;Gyeon, Myeong-Ok;Lee, Won-Jae;Kim, Il-Su;Sin, Byeong-Cheol;Gu, Gap-Ryeol 232
SiC single crystal Ingots were prepared onto different seed material using sublimation PVT techniques and then their crystal quality was systematically compared. In this study, the conventional SiC seed material and the new SiC seed material with an inserted SiC epitaxial layer on a seed surface were used as a seed for SiC bulk growth. The inserted epitaxial layer was grown by a sublimation epitaxy method called the CST with a low growth rate of$2{\mu}m/h$ N-type 2"-SIC single crystals exhibiting the polytype of 6H-SiC were successfully fabricated and carrier concentration levels of below$10^{17}/cm^3$ were determined from the absorption spectrum and Hall measurements. The slightly higher growth rate and carrier concentration were obtained in SiC single crystal Ingot grown on new SiC Seed materials with the inserted epitaxial layer on the seed surface, maintaining the high quality. -
In this paper, Via hole pore were investigated during PLAS (PessureLess Assisted Constrained Sintering) process of LTCC. Ag and Ag-Pd paste mixture were tested for via paste. Ag paste with 10~25% Ag-Pd paste showed no via hole pore, but further increase of Ag-Pd contents in via paste increased via pore. From shrinkage curve, 10~25% Ag-Pd paste showed expansion behaviors before shrink and this phenomena result in the reduction of via hole pore during PLAS process.
-
The contact resistance and grain boundary potential barrier of ceramic
$BaTiO_3$ PTCR were investigated. The electroless plated Ni, evaporated Al, and Ag paste were chosen as electrode materials of PTCR device for comparison analysis before and after heat treatment. The contact resistance of electrode were measured by electrometer (dc), digital multimeter (dc), and LCR meter (ac). In the case of Al electroded samples, the heat treatment and protective oxide layer had high resistance and effect on the stability of PTCR effect against contact resistance degradation, but the Ag-paste had comparably high contact resistance before heat treatment and decreased after heat treatment with safe. On the other hand, the samples with electroless plated Ni electrode had good properties of contact resistance against aging. -
The electrical properties such as dielectric constants and dielectric losses in the spinel samples of
$LiGaTiO_4$ , Li(Ga,Eu)$TiO_4$ ,$Li(Ga.Yb)TiO_4$ have been characterized by varying measuring temperature and frequency. The long range order structures are analyzed by rietveld refinement method. and local atomic disorder structures are analyzed by MEM (maximum entropy method). The relation between the crystal structure and dielectric properties are discussed.$LiGaTiO_4$ spinel has the IMMA with lattice constant, a = 5.86333, b=17.5872. c = 8.28375${\AA}$ , Li-sites are partially substituted by Ga or Ti. Two crystallographic oxygen sites are partially occupied(40~50%). The dielectric constants of$LiGaTiO_4$ ,$LiYbTiO_4$ , and$LiGa_{2/6}Eu_{1/6}Ti_{1.5}O_4$ ceramics were 127, 75 and 272, respectively at 100 kHz. The dielectric relaxation were observed in the$LiGaTiO_3$ ceramics and the temperature where dielectric loss shows maximum was$390^{\circ}C$ at 1 kHz and increased with increasing the measuring frequency. -
Kim, Hyun-Sik;Kim, Jong-Ryung;Huh, Jeong-Sub;Lee, Hae-Yeon;Lee, Jun-Hui;Jung, Won-Chae;Oh, Young-Woo;Byun, Woo-Bong 239
저손실 고투자율 특성을 가지는${\alpha}-Fe$ 나노결정 자심재료를 제조하기 위한 열처리 온도 변화에서,$510^{\circ}C$ 의 열처리 조건에서 가장 높은 투자율과 신호전송 특성을 나타내었다. 저주파 대역에서의 신호 전송 특성은 자심재료의 자기적 특성에 지배적인 영항을 받으며, 고주파 대역의 신호전송 특성은 임피던스 매칭으로 향상시킬 수 있었다. 그리고 커플러의 출력부에 신호증폭장치를 삽입하여 자기유도에 의해 신호가 커플링될 때 발생하는 삽입손실을 보완하여 커플러의 특성을 향상시킬 수 있었다. -
In this work, the
$LiFePO_4-LiCoO_2$ mixed cathode electrodes were prepared and their electrochemical performances were measured in different current density. The cell of$LiFePO_4-LiCoO_2$ observed two voltage plateau regions at 3.4 and 3.9V. The cell of$LiFePO_4-LiCoO_2$ (90:10 wt%) mixed cathode delivered a discharge capacity of ca. 139.8 mAh/g at a 0.2C rate. The capacity of the cell decreased with the current rate and a useful capacity of ca 85.7mAh/g was obtained at a 2C rate. -
집적회로기판의 소형화 추세에 따라 커패시터, 인덕터, 저항과 같은 수동소자를 PCB기판 내부에 임베딩하는 연구가 국내외에서 활발하게 진행되고 있다. 본 논문에서는 폴리머-금속-세라믹의 3상복합체 구조를 가지는 임베디드 커패시터의 온도변화에 따른 유전불성변화에 대하여 고찰하였다. 매트릭스를 형성하는 고분자 재료로는 PMMA를 사용하였으며, 충분히 혼합된 분말을 PMMA의 유리 전이온도 보다 높은 온도에서 프레싱하여 시편을 제조하였다. 유전특성은 임피던스분석기 및 LCZ미터를 이용하여 측정하였으며, 실험결과는 혼합법칙과 Percolation 이론을 이용하여 해석하였다.
-
A propyl alcohol based sol-gel method was used as to replace the 2-methoxyethanol with 1,1,1 tris (hydroxymethyl) ethane for preparation of pzt piezoelectric ceramic. The powder obtained by this sol-gel process were calcined at
$900^{\circ}C$ and followed by the sintering at$1100^{\circ}C$ for ca2 hrs as to reach a pyrochlore-free crystal phase. The characterization of synthesized material was carried out by the XRD analysis and the surface morphology were determined by high resolution scanning electronmicroscopy. Further, the prepared small sized pzt thin powders are likely to be used m various applications. -
Carbon nitride films were evaluated that they had many advantages for miniature micro-humidity-sensors using the standard CMOS technology humidity sensing properties and CV characteristics of the carbon nitride films have been investigated for fabricating one chip HUSFET(Humidity Sensitive Field Effect Transistor) humidity sensors Carbon nitride films were deposited on silicon substrate with meshed electrodes by reactive RF magnetron sputtering system. The capacitor-type humidity sensor revealed good humidity-impedance characteristics with a wide range of relative humidity changes, decreasing
$254k{\Omega}$ to$16k{\Omega}$ according to increase of relative humidity between 5% ~ 95% and the films were very stable on the Si wafer. These results reveal that$CN_x$ thin films can be used for Si based or HUSFET structure one chip micro-humidity sensors. -
In this study, we tried to Improve c-axis orientation of ZnO thin films used in a piezoelectric layer of FBAR devices. First. ZnO deposition conditions were determined by changing various conditions of RF sputter such as RF power, pressure and
$O_2$ contents. The Piezoelectric layer was deposited on ZnO buffer layer of dense structure which was formed by ALD equipment. The c-axis orientation of ZnO piezoelectric layer was measured by XRD and we confirmed fine Grains and columnar structure by SEM, AFM. -
A development of surface acoustic wave gas sensor to detecting volatile gas has been observed by monitoring output signal as function of time onto the network analyzer. The SAW sensor with a center frequency of 200MHz was fabricated on
$42^{\circ}$ S-T Quartz substrates. Using the gas chromatography column has been selectivity. Experimental results, which show the phase change of output signal under the absorption of volatile gas onto sensors, were presented. The proposed sensor has the properties of high sensitivity compare to the conventional SAW gas sensor and chemical selectivity. Thus, it is thought these results are applicable for use in sensor array of an high performance electronic nose system. -
We have manufactured CdS and Cd(Cu)S thin films by chemical bath deposition(CBD) process, and examined the effects of
$NH_4Cl$ and TEA. The addition of$NH_4Cl$ remarkably enhanced the film thickness of CdS, however, TEA slightly decreased the film thickness. The thickness of CdS film prepared from the aqueous solution of 0.003 M$CdSO_4$ 0.00008 M$CuSO_4$ , 1.3M NH3, 0.03 M$SC(NH_2)_2$ and 0.0009 M$NH_4Cl$ was 210 nm and resistivity of that was$1.2{\times}10^3{\Omega}cm$ . -
The electrical properties of viologen (
$VC_8SH$ ) were studied in terms of the tunneling current characteristics using self-assembling techniques and ultra high vacuum scanning tunneling microscopy (UHV-STM). We fabricated the Au substrate were deposited by thermal evaporation system($420^{\circ}C$ ). Self-assembled monolayers (SAMs) were prepared on Au(111), which had been thermally deposited onto freshly cleaved, heated mica. The Au substrate was exposed to a 1 mM/L solution of Octanethiol in ethanol for 24 h to form a monolayer. After through rinsing the sample, it was exposed to a 0.1 mM/L solution of$VC_8SH$ in ethanol for 30 min. We measurement of the morphology on the single viologen molecule. The current-voltage (I-V) properties were measured at arbitary configured points on the surface of the sample by using a STS. -
ZnO thin films were prepared by RF magnetron sputter deposition on p-Si(100) wafer with various cooling rates of substrate temperature such as the substrates were pre-heated to
$400^{\circ}C$ before the deposition and then cooled down naturally or slowly to$300^{\circ}C$ ,$200^{\circ}C$ ,$100^{\circ}C$ , and R.T., by the temperature controller during the deposition. The crystall me and micro-structural characteristics of the films were investigated by XRD and SEM ZnO films which cooled down naturally or slowly by temperature controller during deposition, especially the film were deposited with cooling down from$400^{\circ}C$ to$200^{\circ}C$ slowly, showed the most outstanding c-axis preferred orientation. -
$Bi_2Sr_2Ca_{n-1}Cu_nO_x$ superconducting thin films have been fabricated by atomic layer-by-layer deposition using IBS(Ion Beam Sputtering) method During the deposition, 90 mol% ozone gas of typical pressure of$1{\sim}9{\times}10^{-5}$ T orr are supplied with ultraviolet light irradiation for oxidation. XRD and RHEED investigations reveal out that a buffer layer with some different compositions is formed at the early deposition stage of less than 10 units cell and then Bi-2201 oriented along the c-axis is grown. -
Yang, Hyeon-Hun;Kim, Young-Jun;So, Soon-Youl;Jeong, Woon-Jo;Park, Gye-Choon;Lee, Jin;Chung, Hae-Deok 261
$CuInS_2$ thin films were synthesized by sulpurization of Cu/In Stacked elemental layer deposited onto glass Substrates by vacuum furance annealing at temperature 200[$^{\circ}C$ ]. And structural and electrical properties were measured in order to certify optimum conditions for growth of the ternary compound semiconductor$CuInS_2$ thin films with non-stoichiometry composition.$CuInS_2$ thin film was well made at the heat treatment 200[$^{\circ}C$ ] of SLG/Cu/In/S stacked elemental layer which was prepared by thermal evaporator, and chemical composition of the thin film was analyzed nearly as the proportion of 1:1:2. Physical properties of the thin film were investigated at various fabrication conditions substrate temperature, annealing and temperature, annealing time by XRD, FE-SEM and hall measurement system. At the same time, carrier concentration, hall mobility and resistivity of the thin films was$9.10568{\times}10^{17}[cm^{-3}]$ , 312.502 [$cm^2/V{\cdot}s$ ] and$2.36{\times}10^{-2}[{\Omega}{\cdot}cm]$ , respectively. -
본 연구에서는 Arachidic acid Langmuir-Blodgett (LB)막의 계면특성과 전기적 특성을 측정하였다. Arachidic aicd는 포화지방산으로 (
$CH_3(CH_2)_{18}COOH$ )의 구조를 가지며, 크기가 27.5[$\AA$ ]으로$CH_3(CH_2)_{18}$ 의 소수기와 COOH의 친수기로 구성되어 있다. LB막은 박막제작시 배열과 배향의 제어가 용이하다. Chloroform을 용매로 하여 2[mmol/l]의 농도를 조성하여${\pi}-A$ 등온선을 통해 기체 상태, 액체 상태, 고체 상태를 관찰하였으며 편광각 현미경 (Brewster angle microscopy) 이미지를 통해 각 상태에서의 이미지를 관찰하였다. 또한 LB막의 제작시 사용되어진 ITO 기판은 친수처리 전 후의 접촉각의 측정을 통하여 막의 안정성을 확인하였다. 또한 LB막을 Metal/LB막/Metal 구조의 소자로 제작하여 전압-전류 특성을 측정하였다. -
It was well known that thermopile was quiet a competent sensor using to probe the temperature of "hot point" where the temperature can be off the temperature-limitation for normal operation of the main electrical power equipment. In the present work, we aimed for developing new Ge-thermopile materials which can be using a non-contact temperature sensors at various hot-point of the power equipment and evaluation of its output property. As a results of the present works, a new thermopile which were composed Ga-poded p-type and Sb-doped n-type in Ge-semiconductor were designed and manufactured by MBE(Molecular Beam Epitaxy) process and showed superior sensitivity at room temperature.
-
전력소모를 감소시키기 위해 MEMS 기술을 이용하여 마이크로 히터를 제작하고 그 위에 감지물질을 도포하여 마이크로 센서를 제작하였다. 마이크로 가스센서는
$SnO_2$ 를 모물질로 하였으며 가스 감도를 향상시키기 위해 Pd와 Rh,${\alpha}-Fe_2O_5$ ,$V_2O_5$ 를 첨가하여, CO 가스 강도를 조사하였다.$SnO_2$ 에 촉매로서 Pd만을 첨가하였을 때보다 Rh,${\alpha}-Fe_2O_5$ .$V_2O_5$ 등을 첨가하였을 때 CO가스에 대한 감도 반응이 우수하였다. 마이크로 가스센서의 소비전력은 42mW이었다. -
Optical Properties of
$TiO_2$ Thin Films Deposited on Polycarbonate Substrate by Ion Beam Sputtering이온빔 스퍼터를 사용하여 PC기판위에$TiO_2$ 박막을 증착한 후 광학적 특성을 고찰하였다. 증착 전 어시스트 이온건을 사용하여 아르곤 플라즈마로 표면처리를 하였으며, Ti 박막을$300{\AA}$ 정도로 증착한 다음, 그 위에 산소 반응스퍼터링 기법을 사용함으로써$TiO_2$ 박막을 증착하였다. 표면처리에 의한 기판의 표면개질로 TI 버퍼층과의 막부착력을 높이고, 아르곤 산소의 분압비를 1로 고정하였을 때,$TiO_2$ 박막의 두께에 따라서 박막의 색상이 변화는 것을 관찰할 수 있었다. 또한, 아르곤과 산소의 분압 변화에 대한 의존성은$TiO_2$ 박막의 색상과 투과율과 같은 광학적인 성질에 영향을 미치는 것을 알 수 있었다. -
Green light emitting
$Zn_2SiO_4$ Mn and Al co-doped$Zn_2SiO_4$ :Mn phosphor were synthesized by a sol-gel method combined with a furnace firing. The luminescent properties of the sample have been investigated. We have found that the phosphor powder with uniform shape show the maximum luminescent intensity when it is prepared with sol-gel method and fired at relatively high temperature ($1100{\sim}1300^{\circ}C$ ). -
Over the past years, a large number of acrylate polymers have been developed and the overcoat thin layer containing acrylate polymers have been used for TFT-LCD color filter. As forming thin layer using acrylate polymers, the existing acrylate polymers have some problems such as low hardness by low Tg temperature, coating uniformity and solubility in organic solvent. To solve these problems, we synthesized new polymer(Scheme.), containing olefin monomer, which has high Tg temperature, good coating uniformity and good solubility in organic solvent. The overcoat thin layer containing new polymer resulted in good coating uniformity, stain, spot, scratch, heat resistance, DOP(Degree Of Planarization) on RGB glass, transparency, hardness, adhesion, anti-chemicals(anti-acid, anti-base, anti-organic solvent), insulation and anti-humidity. Scheme. The structure of new polymer X = Olefin monomer contains ketone, ester, hydroxy, ether, halogen, nitrile, alkoxy, phenyl functional group
$R_1$ and$R_2$ = H or$CH_3$ . Ratio=0<[1/(1+m+n)]<0.7,0.1[$\leq$[n/(1+m+n)]<0.5. -
A new thin film materials can be built up at the molecular level, and the relationship between these artificial structures and the properties of materials can be explored. In this paper, in order to confirm the application possibility to the molecular electronic device of the organic materials, we have investigated electro-luminescent (EL) characteristics of organic EL device using
$Alq_3$ , PBD as emitting material. Current and luminance can be seen that express a similar relativity in voltage and could know that luminance is expressing current relativity. -
본 연구에서는 인광 발광 물질인 host재료, CBP에 guest로 인광색소인
$Ir(ppy)_3$ 을 첨가하여 광학적, 전기적 특성을 보았다.$Ir(ppy)_3$ 색소를 서로 다른 중량비로 첨가할 때의 소자들의 특성을 평가하였다.$ Ir(pppy)_3$ 을 3.125%의 중량비로 하였을 때 가장 좋은 휘도특성을 보였다. 소자의 기본구조는 glass/ITO/${\alpha}-NPD(300{\AA})$ /CBP:$Ir(ppy)_3(300{\AA})$ /$BCP(80{\AA})$ /$Alq_3(200{\AA})$ )/$Al(1000{\AA})$ 로 하였다. -
Han, Jeong-Min;Hwang, Jeoung-Yeon;Kim, Byoung-Yong;Han, Jin-Woo;Kim, Jong-Hwan;Lee, Sang-Keuk;Oh, Yong-Cheul;Seo, Dae-Shik 278
In this study, we investigated the electro-optical (EO) characteristic of fringe-field switching (FFS) mode cell by the two kinds of ultraviolet (UV) alignment method on the organic thin film (polyimide Pl). The suitable organic layers for FFS cell and the aligning capabilities of nematic liquid crystal (NLC) using the in-situ photo-alignment method were studied. An unstable V-T curve of UV-aligned FFS-LCD with conventional photo-alignment method can be achieved. However, a stable V-T curve of UV-aligned FFS-LCD with in-situ photo-alignment method (1h), and V-T curve of UV-aligned FFS-LCD with in-situ photo-alignment method was much stable comparing with that of other UV-aligned FFS-LCD's, As a result, more stable EO performance of UV-aligned FFS-LCD with in-Situ photo-alignment method is obtained than that of the other UV-aligned FFS-LCD's. -
Kim, Sang-Hoon;Kim, Byoung-Yong;Kang, Dong-Hun;Han, Jin-Woo;Kim, Sung-Yeon;Myoung, Jae-Min;Oh, Yong-Cheul;Seo, Dae-Shik 280
We studied the nematic liquid crystal (NLC) aligning capabilities using the new alignment material of a Titanium dioxide ($TiO_2$ ) thin film by rf magnetron sputtering system for 15min under various rf power. A very low pretilt angle by ion beam exposure on the$TiO_2$ thin film was measured. A good LC alignment by the ion beam alignment method on the$TiO_2$ thin film surface was observed at annealing temperature of$200^{\circ}C$ , and the alignment defect of the NLC was observed above annealing temperature of$250^{\circ}C$ . Consequently, the low NLC pretilt angle and the good thermal stability of LC alignment by the ion beam alignment method on the$TiO_2$ thin film by sputter method as various rf power condition can be achieved. -
The transport phenomena of arsenic (As) doped amorphous selenium(a-Se:As) thin film for digital X-ray conversion material has been reported. The effect of As addition on the carrier mobility and recombination lifetime in a-Se:As sample has been measured using the moving photo-carrier grating (MPG) technique. An Increase in hole mobility and recombination was observed when 0.3% arsenic, was added into a-Se sample, whereas electron mobility decrease with arsenic addition due to the defect density. The fabricated a-Se:03% As device exhibited the highest X-ray sensitivity.
-
We prepared ITO thin films using Facing Targets Sputtering(FTS) method with various input currents at room temperature on Polycarbonate(PC) and Polyethersulfon(PES) substrates. As a function of sputtering conditions, electrical and optical properties of prepared ITO thin films were measured. The electrical, optical, structural characteristics of ITO thin films were evaluated by Hall Effect Measurement(EGK), X-Ray Diffractormeter(Rigaku) and UV-VIS spectrometer(HP) respectively. From the results, we obtained ITO thin films that have a resistivity of
$4{\times}10^{-4}[{\Omega}-cm]$ on PC and$527{\times}10^{-4}[{\Omega}-cm]$ on PES. Also, the optical transmittances of all samples were over 80%. -
Kim, Su-Young;Kim, Youn-Sik;Song, Eun-Gyoung;Sureshkumar, Palanivelu;Lee, Chan-Jae;Han, Jeong-In;Lee, Seung-Hee 286
Electrowetting display (EWD) is one of the strong candidates for electronic paper like display (EPD). However, in the conventional EWD, the oil movement occurs in random direction so that we can observe irregular distribution of contracted oil in the operation. To realize colorful display and achieve a fast response time, oil movement in the pixel should be directed in a specific direction. In this paper, we report the result of oil contraction with specified direction which is achieved by patterned electrode. From the experiments, we find that the oil movement depends on the portion of etched electrode area and study other influencing factors of patterned electrode on the oil movement. -
Kang, Dong-Hun;Kim, Byoung-Yong;Kim, Sang-Hoon;Hwang, Jeoung-Yeon;Han, Jin-Woo;Kim, Jong-Hwan;Kang, Hee-Jin;Ok, Chul-Ho;Oh, Yong-Cheul;Seo, Dae-Shik 288
The tilt angle generation of nematic liquid crystal(NLC) on the homeotropic polyimide(PI) surface by the new Ion beam alignment method is studied. The tilt angle of NLC on the homeotropic PI surface for all incident angle is about 38and this has a stabilization trend. And the good LC alignment of the NLC on the PI surface by ion beam exposure of 45Incident angle was observed. Also the tilt angle of NLC on the homeotropic PI surface by ion beam exposure of 45Incident angle had a tendency to decrease as ion beam energy density increase. So we had known that pretilit angle could be controlled from verticality to horizontality. -
We prepared the multilayer with Al doped ZnO (AZO)/Ag/AZO structure. The multilayer were deposited with various thickness of Ag layer on glass substrates at room temperature by using facing targets sputtering (FTS) method. To investigate the electrical, optical and structural properties, we used Hall Effect measurement system, four-point probes. UV-VIS spectrometer with a wavelength of 300 - 100nm, X-ray Diffractometer(XRD) and scanning electron microscopy (SEM). We obtained multilayer thin film with the low resistivity
$5,9{\times}10^{-5}{\Omega}cm$ and the average transmittance of 86% m the visible range (400 - 800nm). -
We have Investigated dielectric properties depending on bias voltage in organic lightemitting diodes using 8-hydroxyquinoline aluminum(
$Alq_3$ ) as an electron transport and emissive material. We analyzed the dielectric properties of organic light emitting diodes using impedance characteristics measurement by the auto-balancing bridge technique and equivalent cirrcuit of ITO/$Alq_3$ /Al. Impedance characteristics was measured complex impedance Z and phase${\theta}$ in the frequency range of 40 [Hz] to$10^8$ [Hz]. We obtained complex electrical conductivity, dielectric constant, and loss tangent ($tan{\delta}$ ) of the device at room temperature. From these analyses, we are able to interpret a conduction mechanism and dielectric properties contributed by an interfacial and orientational polarization. -
Field sequential liquid crystal display (FSLCD) has advantages such as a high transmittance due to no use of color filter and high color reproductivity because of LED backlight for a luminance source. However, to realize FSLCD response time of the LCD must be below 5ms. In this paper, we have chosen electrically controlled birefringence (ECB) mode for this application and studied film compensation to improve the operating voltage and viewing angle and to achieve a fast response time optimizing the condition of the discotic film and TAC film, operating voltage decreases to 5V, and viewing angle range is
$160^{\circ}$ at horizontal and vertical direction, respectively and$120^{\circ}$ in diagonal direction. (contrast ratio > 10:1) and optimized cell exhibits a fast response time of 4ms in most grey levels. -
Kim, Dong-Hwan;Kim, Hwa-Seon;Kwak, Ji-Hoon;Lee, Jee-Hoon;An, Ho-Guen;Woo, Myung-Woo;Ruimao, Hua;Jung, Min-Chul 296
유기EL의 발광재료로 쓰일 수 있는 이핵 루테늄착체는$Ru(bpy)_2Cl_2$ 와 새롭게 디자인한 가교체 (Bis(-2,2'-dipyridyl ketenylidene)-N,N-1,6-diphenylene diamine)의 반응으로부터 합성하였고, FT-IR,$^1H$ -NMR,$^{13}C$ -NMR, UV-vis, P.L, C.V를 이용하여 착체의 구조분석, 광학적 특성과 전기화학적인 특성을 측정하였다. -
본 연구에서는 전면 발광 OLED용 투명 금속 전극 소자의 제조를 위해 Al과 Ag 재료를 가지고 박막의 두께에 따른 투과도와 면저항을 조사하였다. Al 금속 재료의 박막 두께가 30nm에 따른 면저항값은
$8{\Omega}/{\square}$ 로 조사되었고, 70% 이상의 투과도를 가진 금속의 두께는 10nm 이상으로 조사되었다. Ag 금속 재료의 최적의 두께 25nm에 따른 면 저항값는$4.5{\Omega}/{\square}$ 로 조사되었고, 70% 이상의 투과도를 가진 Ag 금속의 두께는 5nm 이하로 조사되었다. -
We studied about new module technology to solve warpage problems that produce bending of cell in the LCD (Liquid crystal display). Characteristics of cell gap and glass bending of applying heat Panel's PAD part and cell at various temperature was investigated. When applies heat and compresses PAD party only in case of compressing COG(Chip on Glass), uniformity of cell gap that happen by glass bending by temperature of these compressing COG In the PAD party is decreased. However, in case of compress COG. glass bending of applying heat Panel's PAD part and cell at various temperature produced 20um. But, uniformity of cell gap was not decreased. Therefore, it is considered that applying heat Panel's PAD part and cell could decrease uniformity of cell gap and bending of glass.
-
The response surface modeling of the pretilt angle control using ion-beam (IB) alignment on nitrogen doped diamond-like carbon (NDLC) thin film layer is investigated. The response surface model is used to analyze the variation of the pretilt angle under various process conditions IB exposure angle and IB exposure time are considered as Input factors. The analysis of variance technique is used to analyze the statistical significance, and effect plots are also investigated to examine the relationships betweenthe process parameters and the response. The model can allow us to reliably predict the pretilt angle with respect to the varying process conditions.
-
Ternary tellurite glassy systems (
$Li_2O-V_2O_5-TeO_2$ ) have been synthesised using Vanadium oxide as a network former and Lithium oxide as network modifier. The addition of a metal oxide makes them electric or mixed electric-ionic conductors, which are of potential interest as cathode materials for solid-state batteries. This glass-ceramics crystallized from the$Li_2O-V_2O_5-TeO_2$ system are particularly interesting, because they exhibit high conductivity (up to$5.63{\times}10^{-5}$ S/cm) at room temperature the glass samples were prepared by quenching the melt on the copper plate and the glass-ceramics were heat-treated at crystallizing temperature determined from differential thermal analysis (DTA). The electric DC conductivity result have been analyzed in terms of a small polaron-hopping model. -
Ga-doped and Al-doped ZnO thin films were fabricated via a sol-gel technique and electrical and optical properties of the films were investigated. Film deposition was performed by spin coating at 4000 rpm for 30 s on
$SiO_2$ glass substrate FE-SEM was used to obtain the surface morphology images and the film thickness Four-point probe and UV-VIS spectrophotometer were used to measure the sheet resistance and the optical transparency, respectively. -
Yoo, Hyoung-Sun;Park, Bong-Je;Jang, Ho-Seoung;Jeon, Duk-Young;Ko, Young-Wook;Sohn, Choong-Yong 307
We have synthesized$CaS:Eu^{2+}$ phosphor by using a sealing vessel and evaluated its photoluminescence properties. The method using a sealing vessel is simple and economical in comparison with other methods reported up to date, As an activator concentration was increased from 0.1 mol to 4 mol, the main emission wavelength of the phosphor was increased from 642 nm to 651 nm due to crystal field splitting of 5d level of$Eu^{2+}$ ions. Although the same amount of$Eu_2O_3$ was used, the concentration of the activator ions which were reduced from$Eu^{3+}$ to$Eu^{2+}$ and substituting$Ca^{2+}$ ions was increased with increase of firing temperature. Therefore, the main emission wavelength was also increased from 645 nm to 651 nm with increase of firing temperature from$1100^{\circ}C$ to$1300^{\circ}C$ . -
We studied dielectric properties of OLEDs(Organic Light-emitting Diodes) depending on applied voltage (AC) of PTFE(Polytetrafluoroethylene), material of hole injection layer in structure of ITO/hole injection layer (PTFE)/emitting layer, Alq3(Tris(8-hydroxyquinolibe) Alumin)/Al. PTFE is deposited 2 [nm] as rate of 0.2~03 [
${\AA}/s$ ] and$Alq_3$ is deposited 100 [nm] as rate of 1.3~1.5 [${\AA}/s$ ] m high vacuum ($5{\times}10^{-6}$ [torr]). In result of these studies, we can know dielectric properties of OLEDs. Impedance is decreased depending on applied voltage variation, dielectric loss showed peak in specified voltage and showed cole-cole plot of a specimen. -
We studied homeotropic alignment effect for a nematic liquid crystal (NLC) on the
$SiO_x$ , thin film irradiated by the new ion beam method$SiO_x$ thin films were deposited by plasma enhanced chemical vapor deposition (PECVD) and were treated by the DuoPIGatron ion source. A uniform liquid crystal alignment effect was achieved over 2100 eV ion beam energy. Tilt angle were about$90^{\circ}$ and were not affected by various ion beam energy. -
Han, Jin-Woo;Kang, Hee-Jin;Kim, Jong-Yeon;Kang, Dong-Hun;Han, Jung-Min;Oh, Yong-Cheul;Seo, Dae-Shik 313
In this paper, the inorganic-organic thin film encapsulation layer was newly adopted to protect the organic layer from moisture and oxygen. Using the electron beam, Sputter and Spin-Coater system, the various kinds of inorganic and organic thin-films were deposited onto the Ethylene Terephthalate(PET) and their interface properties between organic and inorganic layer were investigated Results indicates that the SiON/PI/SiON/PI/PET barrier coatings have high potential for flexible organic light-emitting diode(OLED) application. -
We fabricated GaN nanopores m the etching process of anodic oxidation of aluminum. The aluminum was deposited by using E-beam evaporator on p-type GaN. After the aluminum was anodized GaN structure was exposed to the electric field with the oxidat species. The fabricated nanopore structure provides the enhanced intensity of light emission at the wavelengths 470 nm. We investigated the structure of the GaN nanopores from FE-SEM and EDS measurements.
-
This study is about Sealant for LCD panel which used m manufacture of liquid crystal display device with LC dropping method, with the least contamination of liquid crystals, the best adhesion of glass and Improvement of photoreaction for shaded area.
-
Kim, Jong-Yeon;Han, Jin-Woo;Kim, Jong-Hwan;Kang, Hee-Jin;Han, Jung-Min;Kang, Dong-Hun;Oh, Yong-Cheul;Seo, Dae-Shik 318
기존에 사용되고 있는 ITO(Indium-Tin-Oxide)의 저항보다 낮은 저항을 가지는 AZO(ZnO-Ag-ZnO) 전극을 Top emission 방식의 OLED(organic light emitting diode)에 적용하여 발광 소자를 제작하였다. AZO박막은 기존의 ITO박막이 수십${\Omega}$ 을 나타내던 것과 비교하여$8{\Omega}$ 으로 매우 낮은 저항을 나타내었다. 투과율은 84%로 기존의 ITO박막과 유사한 성능을 나타내었다. -
In this work, impedance Spectroscopic analysis was applied to study the effect of plasma treatment on the surface of indium-tin oxide (ITO) anodes using
$CF_4g$ as and to model the equivalent circuit for organic light emitting diodes (OLEDs) with the$CF_4$ plasma treatment of ITO surface at the anodes. This device with ITO/TPD/$Alq_3$ /LiF/Al structure can be modeled as a simple combination of a resistor and a capacitor. The$CF_4$ plasma treatment on the surface of ITO shifts the vacuum level of the ITO as a result of which the barrier height for hole injection at the ITO/organic interface is reduced. The Impedance spectroscopy measurement of the devices with the$CF_4$ plasma treatment on the surface of ITO anodes shows change of values in parallel resistance ($R_p$ ) and parallel capacitance ($C_p$ ). -
The nano-sized Ce-doped YAG(Yttrium Aluminum Garnet,
$Y_3Al_5O_{12}$ ) phosphor powders were prepared by combustion method from a mixed aqueous solution of metal nitrates, using citric acid as a fuel. The luminescence formation process and structure of phosphor powders were investigated by means of XRD, SEM and PL. The XRD patterns show that YAG phase can form at all of the$Ce^{3+}$ concentration. However, when$Ce^{3+}$ concentration is over 2.0mol%, XRD patterns show$CeO_2$ peak between (321) peak and (400) peak. The pure crystalline YAG:Ce with uniform size of 30nm was obtained at 0.6mol% of the$Ce^{3+}$ concentration. The crystalline YAG:Ce powders showed broad emission peaks in the range 475~630nm and had maximum intensity at 526nm. -
In order to develop high efficiency white organic light-emitting diodes (OLEDs), OLED devices consisted of red and blue emitting layers (EMLs) were fabricated and the effect of respective layer thickness and the order of layer stacking on the luminous efficiency was evaluated Red/blue structure showed higher efficiency than blue/red, due to the higher exiton formation. In the blue layer of red/blue structure. However, the efficiency of the red/blue significantly depended on the thickness of the red layer, whereas the thickness of the blue layer was not affect so much. The optimum thickness of the red layer was 20
${\AA}$ , where the luminous and power efficiencies were 155 cd/A and 10.51 lm/W at 1000~3000$cd/m^2$ respectively and the maximum luminance was about 80,000$cd/m^2$ . -
The paper describes the development of arrester for 154kV class power station and substation. The arrester employs silicone insulating materials for Its housing, instead of the conventional porcelain housing. The sealing integrity is related to safe operation of surge arrester, the prime failure reason of porcelain housed arresters is moisture ingress. In this paper, the sealing integrity of polymeric surge arresters for ultra high voltage is investigated with moisture ingress test. The diagnostic techniques are discusses, including watt loss, partial discharge, AC leakage current, 1mA DC voltage and residual voltage.
-
Lee, S.W.;Kim, H.;Lim, J.Y.;Ahn, Y.Y.;Whoang, I.W.;Kim, J.H.;Ji, J.Y.;Choi, J.Y.;Lee, Y.J.;Ha, S.H. 328
반도체 소자의 소형화, 고질적화는 junction 깊이 감소와 도핑농도의 증가를 요구한다. 현재 상용화되는 도핑법은 이온빔 주입(Ion Beam Ion Implantation, IBII)인데, 이 방법은 낮은 가속에너지를 가하는 경우 이온빔의 정류가 금속이 감소해 주입 속도가 낮아져 대랑 생산이 어렵고 장비가 고가라는 단점이 있다. 하지만 플라즈마를 이용한 이온주입법 (Plasma Source Ion Implantation, PSII)은 공정 속도가 빠르고 제조비용이 매우 저렴해 새로운 이온주입법으로 주목받고 있다. PSII법에서 플라즈마 특성은 그 결과에 큰 영향을 미치므로 플라즈마 특성의 적절한 제어가 필수적으로 요구된다. 본 연구에서는 공정압력과 RF power를 변화시키며 플라즈마 밀도 측정했다. 그 결과 공정압력이 증가함에 따라서 플라즈마 밀도는 감소되었고 RF power 증가함에 따라서 플라즈마 밀도는 증가되었다. -
Recently, plasma display panels (PDPs) are highlighted for the flat type display device. Therefore, much attention has been paid to secondary electron emission coefficient of the electrode protective material of PDPs. As PDPs is developing, the concern about secondary electron emission coefficient (
$\gamma$ ) which is related with PDPs electrode protection material is increasing continually. So the concern about the way to how to measure secondary electron emission coefficient is on the rise. At present, the way to how to measure secondary electron emission coefficient is developed by some research groups, which is giving some research part's advance help. In this research, we have studied how to measure secondary electron emission coefficient which is related with various thin films more conveniently than previous measurement method. We studied the method of measurement of secondary electron emission coefficient (${\gamma}$ ) of amorphous silicon films by using Paschen's curve. -
The properties of a cable Insulate capacity between surfaces with the variation of the interfacial breakdown, the addition of silicon oil, the variation of pressure and interfacial roughness were investigated. The Insulate trouble of a power cable is out of the interfacial parts, which breakdown the insulate breakdown capacity in a power cable. In this study, the analysis of electric field and the phenomenon of interfacial breakdown were reported by varying the surfaces condition of silicon rubber, XLPE used for connection materials of a power cable.
-
Solid insulation exposed to voltage is degraded by electrical tree process. And the degradation of the insulation is accelerated by voltage application. For this experimental, specimen of electrical tree model is made by XLPE (cross-linked polyethylene). And the size of the specimen is 7*5*7
$mm^3$ . Distance of needle and plane is 2 mm. Voltages applied for acceleration test are 12 kV to 15 kV. And distribution characteristic of degraded stage is studied too. As a PD detecting and data process, discharge data acquire from PD detecting system (Biddle instrument). The system presents statistical distribution as phase resolved. Moreover the processing time of electrical tree is recorded to know the speed of degradation according to voltage. -
Diagnostic tests are used to evaluate the insulation condition of stator windings in traction motor. These tests included ac current, tan delta and maximum partial discharge. The insulation condition of stator windings was assessed by three test items. The stator windings of traction motor were m good condition. After completing the diagnostic tests, the stator windings of traction motors were subjected to gradually increasing ac voltage, until the insulation punctured. No.5 stator windings failed near rated voltage of 18.9 kV. The breakdown voltage of No.1 stator windings was 13.0. The failure was located m a line-end coil at the exit from the core slot.
-
AC spark discharge voltage of SF6/CO2and SF6/N2 containing various mixed rate in volume percent (1, 5 and 10%) of SF6 in non-uniform fields are investigated. The needle to plane electrode gap spacing was 5 and 10 mm, and the gas pressure was varied within the range of 0.1~0.7 MPa. We have observed a N-characteristic typical for the electronegative gases even in gas mixtures of 1% SF6 with CO2 and N2 as buffer gases. Especially, the materials of the needle electrode affect the insulation properties of the gas mixtures drastically. On the contrary to the case of needle electrodes made by mild steel or high carbon steel, the N-characteristics are hardly perceived in the case of stainless steel needle in this experiment.
-
A physical model for reduction of Electromagnetic Interference(EMI) or its predecessors, Radio Noise, Electrical Noise, or Radio-Frequency-Interference(RFI), is a rapidly expanding digital technology. It covers the frequency spectrum from DC to about 3 GHz EMI is the poisoner which does not allow radio, TV, radar, navigation, and a lot of electrical, electro-mechanical, and electronic and communication devices, apparatus and systems to operate compatibly in a common frequency spectrum environment EMI can result in a jammed radio, heart pacer failures, navigation errors and many other either nuisance or catastrophic events. Therefore, it follows that this spectrum pollution problem has reached international levels of concern and must be dealt with m proportion to the safety and economic impact Involved.
-
방향성 규소강판을 tape-wound core 형태로 제작하여 가공방법에 따른 자기적 특성을 조사 하였다. 그 결과 곡률반경이 40mm인 시료에서 보자력(Hc)과 포화자속밀도(Bs)는 우수한 값을 나타내었고, 보자력은 0.02Oe, 포화자속밀도는 1.85T 이었다. 현재 국내에서 생산되고 있는 방향성 규소강판의 자속밀도값 보다 우수한 값을 나타내었다. 본 연구로부터 방향성 규소강판을 이용하여 권자심을 제작할 때 도입되는 탄성변형에 대한 대책의 중요성과 코어 소재의 가공방법이 자기적 특성에 미치는 영향을 통해 고효율 방향성 규소강판 개발의 가능성을 확인하였다.
-
This study proposes a development of blades for the 6W class small wind turbine system, which is applicable to relatively low speed region like Korea, and very easy to pitch control. The materials of the blades was used for the still. Electrical properties of blades improved by increasing with wind speed. The maximum output showed at
$10^{\circ}$ of pitch angle and about 3.8[W] at 5.5[m/s] of wind speed. -
This paper performed the basic study for developing the Photodynamic Therapy Equipment for medical treatment. The equipment have been manufactured by using the High Bright Light Emitting Diode and TLC5941 integrated circuit. As a result, 630nm Light Emitting Diode Module was made for the optimization of irradiation condition. And we confirmed the current change according to increase of the level of Light Emitting Diode Module.
-
In this module, RED Light Emitting Diode was employed to replace for Low level He-Ne laser for medical applications Each experiment was performed to irradiation group and non-irradiation group for both Dog bone marrow and Rat tissue cells. MTT assay method was chosen to verify the cell increase of two groups and the effect of irradiation on cell proliferation was examined by measuring 590nm transmittance of ELISA reader. As a result, the cell increase of 37% on Dog bone marrow, 23% on Rat tissue cells was verified m irradiation group as compared to non-irradiation group. The fact that specific wavelength irradiation has an effect on cell vitality and proliferation is known through this study.
-
BSCCO thin films are fabricated by an ion beam sputtering method, and sticking coefficients of the respective elements are evaluated. The sticking coefficient of Bi element in BSCCO film formation was observed to show a unique temperature dependence; it was almost a constant value of 0.49 below about
$730^{\circ}C$ and decreased linearly over about$730^{\circ}C$ . In contrast, Sr and Ca, displayed no such remarkable temperature dependence. This behavior of the sticking coefficient was explained consistently on the basis of the evaporation and sublimation processes of$Bi_2O_3$ . It was concluded that Bi(2212) thin film constructs from the partial melted Bi(2201) phase with the aid of the liquid phase of$Bi_2O_3$ . -
This work describes the effect of binders, such as carboxymethylcellulose (CMC), CMC+Polytetrafluoroethylene (PTFE) and PTFE, on the electrochemical and mechanical properties of activated carbon-electrode for electric double layer capacitor. The cell capacitors using the electrode bound with binary binder composed of CMC and PTFE, especially m composition CMC ; PTFE = 60 : 40 wt %, has exhibited the better rate capability and the lower internal resistance than those of the cell capacitor with CMC. On the other hand, the sheet type electrode kneaded with PTFE was bonded with conductive adhesive on Al foil. This cell capacitor using the electrode with PTFE exhibited the best mechanical properties and rate capability compared to the CMC and CMC+PTFE one These behaviors could be explained by the well-developed network structure of PTFE fibrils during the kneading process.
-
This work describes the effect of the number of roll pressing and the composition of carbon black on the electric and mechanical properties of carbon-PTFE electrode, in which composition is MSP20 : carbon black: PTFE = 95-X : X : 5 wt.%. It was found that the best electric and mechanical properties were obtained for sheet electrode roll pressed about 15 times and for sheet electrode, in which composition is MSP20 carbon black : PTFE = 80 : 15 : 5 wt%. These behaviors could be explained by the network structure of PTFE fibrils and conducting paths linked with carbon blacks, respectively. On the other hand, cell capacitor using the sheet electrode with 15 wt.% of carbon black attached on aluminum current collector with the electric conductive adhesive, in composition is carbon black : CMC = 70 : 30 wt.%, has exhibited the best rate capability between 0.5
$mA/cm^2$ ~ 100$mA/cm^2$ current density and the lowest ESR. -
Accurate calibration matching for maladjusted stereo cameras with calibrated pixel distance parameter is presented. The camera calibration is a necessary procedure for stereo vision-based depth computation. Intra and extra parameters should be obtain to determine the relation between image and world coordination through experiment. One difficulty is in camera alignment for parallel installation: placing two CCD arrays in a plane. No effective methods for such alignment have been presented before. Some amount of depth error caused from such non-parallel installation of cameras is inevitable. If the pixel distance parameter which is one of Intra parameter is calibrated with known points, such error can be compensated in some amount and showed the variable experiments for accurate effects.
-
As circuits become increasingly complex and devices sizes shrinks, the demands placed on global planarization of higher level. Chemical Mechanical Polishing (CMP) is an indispensable manufacturing process used to achieve global planarity. In the CMP process, Diamond Disk (DD) plays an important role in the maintenance of removal rate. According to studies, the cause of removal rate decrease in the early or end stage of diamond disk lifetime comes from pad surface change. We also presented pad cutting rate (PCR) as a useful cutting ability index of DD and studied PCR trend about variable parameters that including size, hardness, shape of DD and RPM, pressure of conditioner It has been shown that PCR control ability of pressure and shape is superior to RPM and size. High pressure leads to a decrease of cell open ratio of pad surface because polyurethane of pad is destroyed by pressure. So low pressure high RPM condition is a proper removal rate sustain. By examining correlations between RPM and pressure of conditioner, it has been shown that PCR safe zoneto satisfy proper removal rate has the range 0.06mm/hr to 0.12mm/hr.
-
Ozonized DI water was supplied to make alkaline cleaning solutions to replace SCI chemicals in a bath with and without recirculation. With recirculation, low dissolved ozone and low pH cause lower particle removal efficiency (PRE) of 75%. However, direct supply of ozonized water with
$NH_4OH$ to a bath without recirculation resulted in higher PRE over 93 %. -
Transverse some wave was generated by T type waveguide for single wafer cleaning application T type megasonic waveguide was analyzed by acoustic pressure measurements and particle removal efficiency. Compared to conventional longitudinal waves, not like longitudinal waves, transverse waves showed changes of direction and phase which increased the cleaning efficiency.
-
In general, HF chemistry lifts off the particles during scrubbing after polishing and effectively removes particles. It is sometimes impossible to apply HF chemistry on W plug due to the degradation of electrical characteristics of a device. In this paper, a post W CMP cleaning process is proposed to remove residue particles without applying HF chemistry. After W CMP, recessed plugs are created, therefore they easily trap slurry particles during CMP process. These particles in recessed plug are not easy to remove by brush scrubbing when
$NH_4OH$ chemistry is used for the cleaning because the brush surface can not reach the recessed area of plugs. Buffing with oxide slurry was followed by W CMP due to its high selectivity to W. The buffing polishes only oxide slightly which creates higher plug profiles than surrounding oxide. Higher profiles make the brush contact much more effectively and result in a similar particle removal efficiency even in$NH_4OH$ cleaning to that in HF brush scrubbing. -
Ferroelectric thin films have attracted much attention for applications in nonvolatile ferroelectric random access memories(NVFeRAM) from the view points of high speed operation, low power consumption, and large scale Integration[1,2]. Among the FRAM, BLT is of particular interest. as it is not only crystallized at relatively low processing temperature, but also shows highly fatigue resistance and large remanent polarization Meanwhile, these submicron ferroelectric capacitors were fabricated by a damascene process using Chemical mechanical polishing (CMP). BLT capacitors were practicable by a damascene process using CMP. The P-E hysteresis were measured under an applied bias of
${\pm}5V$ by using an RT66A measurement system. The electric properties such as I-V were determined by using HP4155A analysers. -
본 연구에서는 PZT박막의 강 유전 캐패시터 제작을 위한 연구로, 4-inch크기의
$SiO_2$ /Pt/Ti/Si가 증착된 웨이퍼를 습식 식각하여$SiO_2$ 패턴(0.8um)을 형성하였고, PZT박막의 캐패시터 제작을 위해 패턴 웨이퍼에$Pb_{1.1}$ ($Zr_{0.52}Ti_{0.48}$ )$O_3$ 조성을 갖는 PZT를 증착하였다.$600^{\circ}C$ 에서 열처리 후 페로브스카이트 구조를 가지는 PZT 박막의 CMP(chemical mechanical polishing) 공정에 따른 전기적 특성을 연구하였다. 강유전체 소자 적용을 위한 CMP 공정으로 제조된 PZT 박막 캐패시터의 P-E특성, I-V특성, 피로특성 등의 전기적 특성을 측정하였다. -
Chemical kinetics affects Cu CMP results (removal rate, Non uniformity etc.) Because Cu is removed by chemical action. Key factors in chemical kinetics are process temperature and concentration of slurry components. In this study, Hydrogen peroxide and citric acid were selected as a oxidant and a complexing agent and Slurry were made by mixing this components. In order to study effects of Chemical Kinetics, X-ray photoelectron spectroscopy (XPS) were performed on Cu sample after etching test as concentration of citric acid and slurry temperature. Finally Cu CMP was performed as same conditions.
-
The purpose of this paper is to investigate the propriety of biodegradable polymer pad in spite of exchanging from existing polyurethane pad used in CMP(Chemical Mechanical Planarization). Poli 400 of G&P Technology for CMP and Ellipsometer of Rudolph AutoEL-III for measurement were used in this experiment. From this experiment, it is proven that the biodegradable polymer pad is sufficiently suitable in CMP process. Therefore, it is expected that, by using the biodegrable pad CMP manufacturing process, and will be decreased. Especially, wafer scratch can be decreased.
-
Chemical Mechanical Planarization (CMP) has emerged as an enabling technology for the manufacturing of multi-level metal interconnects used in high-density Integrated Circuits (IC). Recently, multi-level structures have been also widely used m the MEMS device such as micro engines, pressure sensors, micromechanical fluid pumps, micro mirrors and micro lenses. Especially, among the thin films available in IC technologies, polysilicon has probably found the widest range of uses in silicon technology based MEMS. This paper presents the characteristic of polysilicon CMP for multi-level MEMS structures. Two-step CMP process verifies that is possible to decrease dishing amount with two type of slurries characteristics. This approach is attractive because two-step CMP process can be decreased dishing amount considerably more then just one CMP process.
-
CMP(chemical mechanical polishing) process has been attracted as an essential technology of multi-level interconnection. However, the COO(cost of ownership) is very high, because of high consumable cost. Especially, among the consumables, slurry dominates more than 40 %. So, we focused how to reduce the consumption of raw slurry. In this paper,
$ZrO_2$ ,$CeO_2$ , and$MnO_2$ abrasives were added de-ionized water (DIW) and pH control as a function of KOH contents. We have investigate the possibility of new abrasive for the oxide CMP application. -
Chemical mechanical polishing (CMP) technology has been widely used for global planarization of multi-level interconnection for ULSI applications. However, the cost of ownership and cost of consumables are relatively high because of expensive slurry. In this paper, we studied the mixed abrasive slurry (MAS). In order to save the costs of slurry, the original silica slurry was diluted by de-ionized water (DIW). And then,
$ZrO_2$ ,$CeO_2$ , and$MnO_2$ abrasives were added in the diluted slurry in order to promote the mechanical force of diluted slurry. We have also investigate the possibility of mixed abrasive slurry for the oxide CMP application. -
본 연구에서는 열처리 본딩장비를 실제로 개발하여 Al/Sus와 Al/Al의 두 재료를 서로 본딩 하였다. 열처리 본딩 실험을 하기 위해서 열처리시에 온도분포를 정확히 파악하기 위해서 컴퓨터모의실험으로 같은 재료인 Al/Al과 서로 다른 재료인 Al/Sus의 온도분포를 나타내었다. 본딩된 두 가지의 sample들을 FESEM으로 접합부의 표면조직 상태를 측정하였고 인장력측정 장치로 bonding strength를 측정하였다. 접착제를 사용한 본딩 sample 보다는 더 본딩 결합력이 크다는 것을 확인할 수 있었다.
-
We studied about conductive pastes of the source-drain contacts for OTFTs(organic thin-film transistors) fabricated by direct printing(screen printing) method. We used Ag and conductive carbon black powder as the conductive fillers of pastes. The conductive pastes were manufactured by various dispersing agents and dispersing conditions and source-drain contacts with
$100{\mu}m$ of channel length were fabricated. We could obtain the OTFTs which exhibited different field-effect behaviors over a range of source-dram and gate voltages depending on a kind of conductive fillers used conductive pastes. -
This study is about the recycling technology of scrap a PCB(printed circuit board) produced in home appliances or automobile industry. And we develop the recycling technology of cooper (Cu)which is contained to leaching solution. In stead of electrolytic collecting in existing sulphuric atmosphere, we apply process using the ammonia solution which is used in economizing energy. So m the process of electrolyzing scrap a PCB through the leaching and separation, we examine the effect of the nickel contained to the solution and the cooper degree of purity which is changed according to current density.
-
Electro-deposition of carbon film on silicon substrate in methanol solution was carried out with various current density, solution temperature and electrode spacing between anode and cathode. The carbon films with smooth surface morphology and high electrical resistance were formed when the distance between electrode was relatively wider. The electrical resistance of the carbon films were independent of both current density and solution temperature.
-
A high Tc superconducting with a nominal composition of
$Bi_2Sr_2Ca_2Cu_3O_y$ was prepared by the citarte method. The solid precursor produced by the dehydration of the gel at$120^{\circ}C$ for 12h is not in the amorphous state as expected but in a crystalline state X-ray diffraction peaks of nearly the same angular position as the peaks of high Tc phase were observed in the precursor. After pyrolysis at$400^{\circ}C$ and calcination at$840^{\circ}C$ for 4h, the (001)peak of the high Tc phase was cleary observed. Experimental results suggest that the intermediate phase formed before the formation of the superconducting phase may be the most important factor in determining whether it is easy to form the high Tc phase or not, because the nucleation bafflers of the two superconducting phase may be altered by the variation of the crystal structures of those Intermediate phase. -
Direct Printing Process is a suitable fabrication technique to develope pigment components whose dimensions are in nano. The success of this process depends on the sysmatic preparation of pigment millbase. Conventional millbase dispersions are constituted of the organic pigments, monomer, dispersant and solvents. An experimental study on the rheology of millbase dispersions is presented.
-
This paper a new method which applies a genetic algorithm for determining which sectionalizing switch to poerate in order to solve the distribution system loss minimization re-configuration problem. In addition, the proposed method introduces a ultra efficient MMP tracking in a solar power generation system.