한국전기전자재료학회:학술대회논문집 (Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference) (Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference)
한국전기전자재료학회 (The Korean Institute of Electrical and Electronic Material Engineers)
- 연간
- 한국전기전자재료학회 2004년도 추계학술대회 논문집
- 한국전기전자재료학회 2004년도 추계학술대회 논문집 Vol.17
- 한국전기전자재료학회 2004년도 하계학술대회 논문집 Vol.5 No.2
- 한국전기전자재료학회 2004년도 하계학술대회 논문집 Vol.5 No.1
- 한국전기전자재료학회 2004년도 춘계학술대회 논문집
- 한국전기전자재료학회 2004년도 춘계학술대회 논문집 방전 플라즈마 유기절연재료 초전도 자성체연구회
- 한국전기전자재료학회 2004년도 춘계학술대회 논문집 디스플레이 광소자분야
- 한국전기전자재료학회 2004년도 제6회 학술대회 논문집 일렉트렛트 및 응용기술연구회
- 한국전기전자재료학회 2004년도 춘계학술대회 논문집 반도체 재료 센서 박막재료 전자세라믹스
- 한국전기전자재료학회 2003년도 추계학술대회 논문집 Vol.16
- 한국전기전자재료학회 2003년도 제5회 영호남 학술대회 논문집
- 한국전기전자재료학회 2003년도 하계학술대회 논문집 Vol.4 No.2
- 한국전기전자재료학회 2003년도 하계학술대회 논문집 Vol.4 No.1
- 한국전기전자재료학회 2003년도 춘계학술대회 논문집 유기절연재료 방전 플라즈마연구회
- 한국전기전자재료학회 2003년도 제5회 학술대회 논문집 일렉트렛트 및 응용기술연구회
- 한국전기전자재료학회 2003년도 춘계학술대회 논문집 초전도 자성체 연구회
- 한국전기전자재료학회 2003년도 춘계학술대회 논문집 센서 박막재료 반도체 세라믹
- 한국전기전자재료학회 2003년도 춘계학술대회 논문집 기술교육전문연구회
- 한국전기전자재료학회 2003년도 춘계학술대회 논문집 디스플레이 광소자분야
- 한국전기전자재료학회 2002년도 추계학술대회 논문집 Vol.15
- 한국전기전자재료학회 2002년도 제4회 영호남학술대회 논문집
- 한국전기전자재료학회 2002년도 하계학술대회 논문집 Vol.3 No.2
- 한국전기전자재료학회 2002년도 하계학술대회 논문집
- 한국전기전자재료학회 2002년도 춘계학술대회 논문집 유기절연재료 전자세라믹 방전플라즈마 일렉트렛트 및 응용기술
- 한국전기전자재료학회 2002년도 춘계학술대회 논문집 센서 박막재료 반도체재료 기술교육
- 한국전기전자재료학회 2002년도 춘계합동학술대회 논문집
- 한국전기전자재료학회 2002년도 춘계학술대회 논문집 디스플레이 광소자 분야
- 한국전기전자재료학회 2002년도 춘계학술대회 논문집 초전도 자성체
한국전기전자재료학회 2006년도 하계학술대회 논문집 Vol.7
-
In this paper, a nickel silicide technology with post-silicidation annealing effect for thin film SOI devices is investigated in detail. Although lower resistivity Ni silicide can be easily obtained at low forming temperature, poor thermal stability and changing of characteristic are serious problems during the post silicidation annealing like ILD (Inter Layer Dielectric) deposition or metallization. So these effects are observed as deposited Ni thickness differently on As doped SOI (Si film 30nm). Especially, the sheet resistance of Ni thickness deposited 20nm was lower than 30nm before the post silicidation annealing. But after the post silicidation annealing, the sheet resistance was changed. Therefore, in thin film SOI MOSFETs or Ni-FUSI technology that the Si film is less than 50nm, it is important to decide the thickness of deposited Ni in order to avoid forming high resistivity silicide.
-
In this paper, we analyzed the elements of measurement uncertainty on electrical performance test which are the most important things in photovoltaic module performance test. Repeating the performance test by 6 men, the measurement uncertainty could be calculated. In this experiment, Solar Simulator (A-Class pulse type) used for domestic certificate test of PV module is Pasan IIIb (Balval, Switzerland). The possible elements of the measurement uncertain that could effect electrical performance test of PV module are reference cell, spectrum correction, error from measurement repetition, test condition, stability and uniformity of artificial solar simulator. To find the measurement uncertainty, 6 men repeated the test by 10 times. And the results were that numerical average value was 124.44W and measurement uncertainty was
$124.44W{\pm}0.75W$ with 95% confidence level for 125W PV module. -
Hafnium oxide (
$HfO_2$ ) thin films were deposited on p-type (100) silicon wafers by atomic layer deposition (ALD) using TEMAHf and$O_3$ . Prior to the deposition of$HfO_2$ films, a thin Hf ($10\;{\AA}$ ) metal layer was deposited. Deposition temperature of$HfO_2$ thin film was$350^{\circ}C$ and its thickness was$150\;{\AA}$ . Samples were then annealed using furnace heating to temperature ranges from 500 to$900^{\circ}C$ . The MOS capacitor of round-type was fabricated on Si substrates. Thermally evaporated$3000\;{\AA}$ -thick AI was used as top electrode. In this work, We study the interface characterization of$HfO_2$ /Hf/Si MOS capacitor depending on annealing temperature. Through AES(Auger Electron Spectroscopy), capacitance-voltage (C-V) and current-voltage (I-V) analysis, the role of Hf layer for the better$HfO_2$ /Si interface property was investigated. We found that Hf meta1 layer in our structure effective1y suppressed the generation of interfacial$SiO_2$ layer between$HfO_2$ film and silicon substrate. -
Zhang, Ying-Ying;Oh, Soon-Young;Kim, Yong-Jin;Lee, Won-Jae;Zhong, Zhun;Jung, Soon-Yen;Li, Shi-Guang;Kim, Yeong-Cheol;Wang, Jin-Suk;Lee, Hi-Deok 10
In this study, the Ni/Co/TiN (6/2/25 nm) structure was deposited for thermal stability estimation. Vacuum (30 mTorrs) annealing was carried out to compare with furnace annealing in nitrogen ambient. The proposed Ni/Co/TiN structure exhibited low temperature silicidation and wide range of rapid thermal process (RTP) windows. The sheet resistance was too high to measure after furnace annealing at$600^{\circ}C$ due to the thin thickness (15 nm) of the nickel silicide. However, the sheet resistance maintained stable characteristics up to$600^{\circ}C$ for 30 min after vacuum annealing. Therefore, the low resistance of thin film nickel silicide was obtained by vacuum annealing at$600^{\circ}C$ . -
Ferroelectric Cerium-substituted
$Bi_4Ti_3O_{12}$ thin films with a thickness of 200 nm were deposited using the liquid delivery metal organic chemical vapor deposition process onto a Pt(111)/Ti/$SiO_2$ /Si(100) substrate. At annealing temperature above$600^{\circ}C$ , the BCT thin films became crystallized and exhibited a polycrystalline structure. The BCT thin film annealed at$720^{\circ}C$ showed a large remanent polarization ($2P_r$ ) of$44.56\;{\mu}C/cm^2$ at an applied voltage of 5V. The BCT thin film exhibits a good fatigue resistance up to$1{\times}10^{11}$ switching cycles at a frequency of 1 MHz with applied electric field of${\pm}5\;V$ . -
Application of the parallel Build-up is increasing continuously. This report presents about the PCB Build-up technology since 2000. Among the parallel build-up technologies, PALAP application - after making the via, filling the via with electric conductive paste, then expose to make wiring pattern and put them by layer without any glue or middle - is actively developing, especially DENSO company.
-
Zhong, Zhun;Oh, Soon-Young;Kim, Yong-Jin;Lee, Won-Jae;Zhang, Ying-Ying;Jung, Soon-Yen;Li, Shi-Guang;Kim, Yeong-Cheol;Wang, Jin-Suk;Lee, Hi-Deok 16
In this paper, Ni-V alloy was studied with different structures and thickness. In case of Ni-V and Ni-V/Co/TiN, low resistive Ni silicide was formed after one step RTP (Rapid Thermal Process) with temperature range from$400^{\circ}C$ to$600^{\circ}C$ for 30sec in vacuum. After furnace annealing with temperatures range from$550^{\circ}C$ to$650^{\circ}C$ for 30min in nitrogen ambient, Ni-V single structure shows the best thermal stability compare with the other ones. To enhance the thermal stability up to 650oC and find the optimal thickness of Ni silicide, different thickness of Ni-V was studied in this work. Stable sheet resistance was obtained through Ni-V single structure with optimal Ni-V thickness. -
The bismuth zinc niobate(BZN) pyrochlore thin films were fabricated on Pt(111)/Ti/
$SiO_2$ /p-Si(100) substrates using a reactive rf magnetron sputtering method at the conditions of working gas ratio Ar:$O_2$ =90:10, substrate temperate$R.T{\sim}600^{\circ}C$ , rf power 50 W. The dielectric constant, tunability, leakage current density and crystallinity of thin films changed with a substrate temperate. The BZN pyrochlore thin films sputtered with a substrate temperature of$600^{\circ}C$ and RTA at$800^{\circ}C$ showed a leakage current density lower than$10^{-8}\;A/cm^2$ at the range of${\pm}300\;kV/cm$ . -
In this paper, we studied the influence of EVA sheet gel content on photovoltaic module durability. Depending on thermal curing temperature and time during lamination, there are dramatic changes on chemical and physical characteristics. To find the optimum PV module process condition, Glass/EVA/Back Sheet scheme was made. Gel Content, FT-IR spectrum and SEM were used for the detail analysis. From these results,
$110^{\circ}C/6min$ and$130^{\circ}C/4min$ lamination condition could be suggested for the best one for durable PV module processing. The further analysis is described in the following paper. -
Proton irradiation technology was used for improvement of switching characteristics of the PT-IGBT. Proton irradiation was carried out at 5.56 MeV energy with
$1{\times}10^{12}/cm^2$ doze from the back side of the wafer. Characterization of the device was performed by I-V, breakdown voltage, threshold voltage, and turn-off delay time measurement. For irradiated device by 5.56 MeV energy, the breakdown voltage and the threshold voltage were 730 V and 6.5~6.6 V, respectively. The turn-off time has been reduced to 170 ns, which was original$6\;{\mu}s$ for the un-irradiated device. -
In this study, Ni silicide on the SOI substrate doped B11 is proposed to improve thermal stability. The sheet resistance of Ni-silicide utilizing pure SOI substrate increased after the post-silicidation annealing at
$600^{\circ}C$ for 30 min. However, using the proposed B11 implanted substrate, the sheet resistance showed stable characteristics after the post-silicidation annealing up to$700^{\circ}C$ for 30 min. -
To develop tera-bit level SONOS flash memories, SONOS unit memory and 64 bit flash arrays are fabricated. The unit cells have both channel length and width of 30nm. The NAND & NOR arrays are fabricated on SOI wafer and patterned by E-beam. The unit cells represent good write/erase characteristics and reliability characteristics. SSL-NOR array have normal write/erase operation. These researches are leading the realization of Tera-bit level non-volatile nano flash memory.
-
When photovoltaic module is used for a long time, its performance decreases due to several reasons. In this paper, we focus on the possibilities mainly contributing to the degraded efficiency of the polycrystalline silicon photovoltaic modules. The analysis is based on the modules that have been used for 15 years. These are two main reasons that cause the efficiency degradation, the corrosion and thermal decomposition. The former phenomenon of electrode is mainly due to the moisture from damaged back sheet in some module. However the other reason of the degraded efficiency comes from the thermal decomposition, which can not be observed from the outside but only by experiment. In this study, the comparison between the efficiency of normal modules and degradation modules is presented. Module having degraded cell was seen to cause increase of series resistance by about 80%, in comparison to normal samples efficiency which reduce by about 20%. This study shows that the effects of series resistances on module performance are critical. These effects must be understood and taken into consideration when analyzing performance degradation.
-
The LSCF cathode for Solid Oxide Fuel Cell was investigated to develop high performance unit cell at intermediate temperature by modified oxalate method with different electrolyte. The LSCF precursors using oxalic acid, ethanol and
$NH_4OH$ solution were prepared at$80^{\circ}C$ , and pH was controlled as 2, 6, 7, 8, 9 and 10. The synthesis precursor powders were calcined at$800^{\circ}C$ ,$1000^{\circ}C$ and$1200^{\circ}C$ for 4hrs. Unit cells were prepared with the calcined LSCF cathode, buffer layer between cathode and each electrolyte that is the LSGM, YSZ, ScSZ and CeSZ. The synthesis LSCF powders by modified oxalate method were measured by scanning electron microscope and X-ray diffraction. The interfacial polarization resistance of cell was characterized by Solatron 1260 analyzer. The crystal of LSCF powders show single phase at pH 2, 6, 7, 8 and 9, and the average particle size was about$3{\mu}m$ . The electric conductivity of synthesis LSCF cathode which was calcined at$1200^{\circ}C$ shows the highest value at pH 7. The cell consist of GDC had the lowest interfacial resistance (about 950 S/cm@650) of the cathode electrode. The polarization resistance of synthesis LSCF cathode by modified oxalate method has the value from 4.02 to 7.46ohm at$650^{\circ}C$ . GDC among the electrolytes, shows the lowest polarization resistance. -
For the white UV-LED applications,
$Eu^{2+}$ -activated calcium aluminium silicate phosphors were synthesized for the first time and the structures and luminescence characteristics of these phosphors were investigated. The phosphors in this study emitted blue. green or blue-green light depending on the starting materials for synthesis. In addition, the structure was also changed when the different starting materials were used. When CaO and$CaCO_3$ was used as a starting material. tetragonal$Ca_2Al_2SiO_7$ was formed and blue-green and pure green light was emitted. respectively. However. in the case of$CaSiO_3$ , triclinic$CaAl_2Si2O_8$ was formed and only pure blue emission was detected. The maximum emission intensity was obtained from$CaAl_2Si_2O_8:Eu^{2+}$ phosphors, which intensity was about 1.4 times higher than that of YAG:$Ce^{3+}$ phosphor used for blue LED. -
Titanium oxy-nitride (
$TiN_O_y$ ) thin films were deposited on$SiO_2$ /Si substrates using reactive dc magnetron sputtering, and were then annealed at various temperatures in air ambient to incorporate oxygen into the films. The effect of annealing temperature on the structural and electrical properties of the films was investigated. The grain size of the films decreases with increasing annealing temperature. On the other hand, crystallinity of the films is independent of annealing temperature in air ambient. Resistivity of the films increases remarkably as an annealing temperature increases and temperature coefficience of resistance (TCR) of the films varies from a positive value to a negative value. The films annealed at$350^{\circ}C$ for 30 min exhibited a near-zero TCR value of approximately -5 ppm/K. The decrease of the grain size with increasing annealing temperature was attributed to an increase of oxygen concentration incorporated into the films during anncaling treatment. -
In this paper, we describe a method of accurate modeling capacitor in Low Temperature Co-fired Ceramic(LTCC). We obtain building blocks that present characterization of test structure through partial element equivalent circuit (PEEC) method. The extracted model of building blocks can be used for predicting behaviors of capacitors with different geometries. This method can provide the good inspection of capacitor to device engineer.
-
In this work, we developed the 0-3 type piezoelectric composite to incorporate the advantages of both ceramic and polymer. The PVDF-PZT composites were fabricated with various mixing ratio by 3-roll mi11 mixer. The composite solutions were coated on ITO bottom-electrode deposited on PET (polyethylene terephthalate) polymer film by the conventional screen-printing method. After depositing the top-electrode of silver-paste, 4kV/mm of DC field was applied at
$120^{\circ}C$ for 30min to poling the 0-3 composite film. The value of$d_{33}$ was increased as the PZT weight percent was increases. But the$g_{33}$ value showed the maximum at 65 wt% of PZT powder. -
LTCC 후막공정에서 일반적으로 사용되고 있는 스크린 프린팅 방법은 낮은 정밀도와 100um 이하의 선폭을 구현하는 데 한계를 보이고 있다. 이에 따라서 보다 미세한 라인을 형성 할 수 있는 반도체 미세라인 공정기술을 후막 공정에 응용한 후막 리소그라피 기술 (thick-film lithography technology)이 전자부품의 소형화에 대한 방안으로 연구 되고 있다. 본 연구에서는 후막 리소그라피 기술에 사용되는 감광성 Silver 페이스트에 영향을 미치는 각기 다른 크기와 형상의 Silver 파우더들과 인쇄 후 표면의 roughness 개선을 위한 여러 종류의 첨가제들을 첨가하여 최적의 조성을 연구 하였으며, 그린시트와 페이스트의 매칭성을 해결하기 위해서 Tg가 다른 글라스 파우더를 첨가하였다. 또한 전면 인쇄 한 후에 건조, 노광, 현상, 적층, 소성 과정을 걸치는 후막 리소그라피 기술을 이용하여 소성 후 20um이하의 선폭을 가지는 내장형 패턴 구현하였으며 투과엑스레이와 O/S 테스트 통하여 우수한 특성을 확인 할 수 있었다.
-
초고용량 캐패시터(Supercapacitor)는 이차전지와 더불어 차세대 전지로 분류되는 신형에너지 장치로서 충 방전 속도가 다르고 순간 전력공급이 가능하며 충 방전 수명이 반영구적으로 길고 고출력을 내기 때문에 이차전지가 갖지 못하는 영역에서 동력에너지원으로 사용된다. 본 연구에서는 초고용랑 캐패시터의 전극소재인 탄소계 재료를 대신하여 비탄소계 전극소재인
$Li_4Ti_5O_{12}$ 의 고상법 제조를 위한 Li/Ti의 최적 조성과 혼합 방법으로 Li-Ti 계에$Fe_2O_3$ , NiO,$Nb_2O_5$ ,$Sb_2O_3$ 그리고 ZnO와 같은 금속산화물로 치환시켜 합성된 Li -Ti계 금속산화물의 특성 및 충 방전 효과에 미치는 영향을 관찰하고자 하였다. -
$SrTiO_3$ was annealed at two different annealing times (1 h and 16 h) to investigate the annealing effect on the grain size and orientation distribution. Electron backscattered diffraction (EBSD) was used to analyze the grain size and grain orientation distributions of the$SrTiO_3$ . It is possible to understand the annealing effect on the microstructure evolution, by comparing the grain size and orientation distribution of the$SrTiO_3$ as a function of annealing time. -
In this paper, intrinsic n channel MOSFETs with external parasitic components are modeled. Using sensitivity analysis, effective parasitic components are tested and the optimized model is extracted. The extracted model is fitted to the measured S-parameters with different channel width. Based on this methodology, this method, external parasitic components that affect MOSFET operations can be analyzed and modeled.
-
The studies on Cu CMP have focused on material removal and its mechanisms. Although many studies have been conducted on the mechanism of Cu CMP, a study on uniformity in Cu CMP is still unknown. Since the aim of CMP is global and local planarization, the approach to uniformity in Cu CMP is essential to elucidate the Cu CMP mechanism as well. The main purpose of the experiment reported here was to investigate the roles of slurry components in the formation of the uniformity in Cu CMP. All the results of in this study showed that the uniformity in Cu CMP could be controlled by the contents of slurry components.
-
본 연구는 CMP 공정 중의 Conditioning 최적화에 관한 내용이다. CMP Pad Conditioner의 역할은 CMP 공정 중 Slurry 및 연마 잔유물에 의해 Pad 표면에 눈막힘 현상(Glazing)이 발생하여 Wafer의 연마속도가 급속히 저하되는 현상을 방지하여 공정의 안정성을 향상시키는 데 있다. 본 연구 중 Conditioning은 In-situ 방식으로 진행되었으며, Conditioning 비율을 Polishing Time 대비 50%만 진행하여도 연마속도 저하현상은 나타나지 않음을 확인하였다. 이로써 Pad 마모랑 감소 및 Conditioner 교체 주기연장이 가능해져, CMP 공정의 Cost를 절감할 수 있다.
-
In this paper, we first applied the chemical mechanical polishing (CMP) process to the planarization of ferroelectric film in order to obtain a good planarity of electrode/ferroelectric film interface. BST (
$Ba_{0.6}Sr_{0.4}TiO_3$ ), PZT ($Pb_{1.1}(Zr_{0.52}TiO_{0.48})O_3$ ) and BTO ($BaTiO_3$ ) ferroelectric film are fabricated by the sol-gel method. And then, we compared the structural characteristics before and after CMP process of BST, PZT, BTO films. Their dependence on slurry composition was also investigated. We expect that our results will be useful promise of global planarization for ferroelectric random access memories (FRAM) application in the near future. -
Chemical-mechanical planarization (CMP) of Cu has used currently in semiconductor process for multilevel metallization system. This process requires the application of a considerable down-pressure to the sample in the polishing, because porous low-k films used in the Cu-multilevel interconnects of 65nm technology node are often damaged by mechanical process. Also, it make possible to reduce scratches and contaminations of wafer. Electrochemical mechanical planarization (ECMP) is an emerging extension of CMP. In this study, the electrochemical mechanical polisher was manufactured. And the static and dynamic potentiodynamic curve of Cu were measured in KOH based electrolyte and then the suitable potential was found.
-
Principals and characteristics of sensors can be easily understood by experiments based on PC. Applied experiments help further understanding sensor's wide utilization. Moreover, PC based operation makes stored sensor data to be used in Excel for various charts and graphs.
-
In this paper, it was demonstrated that organic thin- film transistors (OTFTs) were fabricated with the organic adhesion layer between an organic semiconductor and a gate insulator by vapor deposition polymerization (VDP) processing. In order to form polymeric film as an adhesion layer, VDP process was also introduced instead of spin-coating process, where polymeric film was co-deposited by high-vacuum thermal evaporation from 6FDA and ODA followed by curing. The saturated slop in the saturation region and the subthreshold nonlinearity in the triode region were c1early observed in the electrical output characteristics in our organic thin film transistors using the staggered-inverted top-contact structure. Field effect mobility, threshold voltage, and on-off current ratio in 15-nm-thick organic adhesion layer were about
$0.5\;cm^2/Vs$ , -1 V, and$10^6$ , respectively. We also demonstrated that threshold voltage depends strongly on the delay time when a gate voltage has been applied to bias stress. -
Recently, researches for high-speed optical devices have been increased to provide mass data transmission and high-speed optical communication. Optical modulator in the transmission link is one of the crucial devices in total optical network system and it can affect a great effect to the whole transmission properties. In this paper, traveling wave electro-absorption modulator (TWEAM) is examined to ensure high efficiency in the RF range and wide bandwidth. In addition, the temperature-dependence electrical characteristics of TWEAM is investigated. Temperature dependent property variations were characterized using I-V and C-V measurement.
-
Solubility of single wall carbon nanotubes (SWNTs) has been determined in various dispersing media by using the solvent parameters such as Kamlet-Taft parameter and 3-dimensional parameters. Nitric acid-treated SWNTs exhibit significantly improved solubility in hydrogen bondable solvents as well as in solvent mixtures. The forming bucky gel with ionic liquid allows for the new group of dissolving solvent. The dissolution behavior of SWNTs provides a route for SWNT dispersion/exfoliation in preparing electrically conductive films such as transparent electrode.
-
Organic light-emitting devices (OLEDs) with the high efficiency and long lifetime are of growing interest in next-generation displays. Among the factors influencing OLEDs properties, one of unstable factor is
$Alq_3$ cationic species caused by the excess holes resided in$Alq_3$ layer. Therefore, we suppressed the accumulation of excess holes by using the mixed-hole transporting layer (MHTL) of NPB and CBP in multilayer green OLEDs. The devices with MHTL showed improved characteristics in the luminance efficiency and lifetime. More characteristics and the carrier transport mechanism will be discussed. -
We studied increasement of efficiency of Organic Light-emitting Diodes depending on thickness variation of LiF, Material of Electron Injection Layer in structure of ITO/Hole Injection Layer (PTFE)/Hole Transportion Later (TPD)/Emitting Layer (Alq3)/Electron Injection Layer (LiF)/Al. TPD and
$Alq_3$ is deposited as rate of 1.3~1.5 [${\AA}/s$ ] in high vacuum ($5{\times}10^{-6}$ [torr]). In result of these studies, we can know maximum efficiency in 0.7 [nm], thickness of LiF. And samples with electron injection material are increased about 5-fold in maximum efficiency in compare with sample without electron injection material. -
We report on plasma damage free chemical vapor deposition technique for the thin film passivation of organic light emitting diodes (OLEDs), organic thin film transistor (OTFT) and flexible displays using catalyzer enhanced chemical vapor deposition (CECVD). Specially designed CECVD system has a ladder-shaped tungsten catalyzer and movable electrostatic chuck for low temperature deposition process. The top emitting OLED with thin film
$SiN_x$ passivation layer shows electrical and optical characteristics comparable to those of the OLED with glass encapsulation. This indicates that the CECVD technique is a promising candidate to grow high-quality thin film passivation layer on OLED, OTFT, and flexible displays. -
New iridium complexes that have carbonyl group were synthesized and demonstrated various red light emission in organic light-emitting diodes (OLEDs). The maximum luminance of
$57000{\sim}15300\;cd/m^2$ at 15 V and the luminance efficiency of 22.8~5.6 cd/A at$20\;mA/cm^2$ were achieved respectively. The peak wavelength of the electroluminescence were at 570~604 nm and the device also showed a stable color chromaticity with various voltages. -
In this paper, the polycrystalline silicon photodiodes utilizing vertically directed current path are investigated. The location of electrodes is considered with the grain direction and the current path. The relationships between grain boundaries and characteristics of photodiode are simulated to apply the vertically grown polycrystalline silicon to photodiodes. From the results, the vertically grown polycrystalline silicon photodiode is a potential candidate for CMOS image sensor. However, the increment of dark current related to grain boundaries should be reduced.
-
We fabricated photonic crystals on GaAs and GaN substrates. After anodizing the aluminium thin film in electrochemical embient, the porous alumina was implemented to the mask for reactive ion beam etching process of GaAs wafer. And photonic crystals in GaN wafer were also fabricated using electron beam nano-lithography process. The coated PMMA thin film with 200 nm-thickness on GaN surface was patterned with triangular lattice and etched out the GaN surface by the inductively coupled plasma source. The fabricated GaAs and GaN photonic crystals provide the enhanced intensities of light emission for the wavelengths of 858 and 450 nm, respectively. We will present the detailed dimensions of photonic crystals from SEM and AFM measurements.
-
본 논문에서는 초고압전력용 케이블에서 절연재료로 사용되고 있는 가교폴리에틸렌 내부(XLPE)에 침투된 침전극의 기울기변화에 따른 XLPE의 전계분포를 경계요소법에 의한 3차원 시뮬레이션 프로그램을 통하여 해석하여, 약
$20^{\circ}$ 의 기울기에서 전계가 집중되는 현상을 확인하였다. -
The purposes of the present study are to produce a high thermal efficient of oil-based nanofluids which can be used as ultra-high voltage transformer oil, and to investigate their thermal and physical properties under static and dynamic conditions. Three kinds of nanofluids are prepared by dispersing
$Al_2O_3$ or AlN nanoparticles in transformer oil. The thermal conductivities of the nanoparticles-oil mixtures increase with temperature, particle volume concentration and thermal conductivity of solid particle itself. It was quite important to eliminate$H_2O$ as byproducts of esterification and excess oleic acid which did not form stable chemical bonds with powder surface to get high dispersion stability. -
In this paper, we examine discharge characteristics of cross-linked polyethylene (since then; XLPE) according to thickness. Voltage was applied to power frequency by step method, and calibration of discharge was set to 50[pC] (slope=8.333). After the voltage was applied, for 10 [sec] (600 [cycle]), occurring discharge and number were detected. Determine of input pattern is difficult because discharge pattern is irregular. Therefore we investigated pattern using the K-means Analysis and Weibull function. Also we investigated variation of centroid and cluster.
-
A high Tc superconducting with a nominal composition of
$Bi_2Sr_2Ca_2Cu_3O_y$ was prepared by the citarte method. The solid precursor produced by the dehydration of the gel at$120^{\circ}C$ for 12h is not in the amorphous state as expected but in a crystalline state. X-ray diffraction peaks of nearly the same angular position as the peaks of high Tc phase were observed in the precursor. After pyrolysis at$400^{\circ}C$ and calcination at$840^{\circ}C$ for 4h, the (001)peak of the high Tc phase was cleary observed. Experimental results suggest that the intermediate phase formed before the formation of the superconducting phase may be the most important factro in determining whether it is easy to form the high Tc phase or not, because the nucleation barriers of the two superconducting phase may be altered by the variation of the crystal structures of those intermediate phase. -
It is needed several large reservoirs and long time in order to remove suspended solid like steel fines and iron oxide at steel making factory. If removing rate of suspended solid in rolling coolant is improved, the productivity of working process can be increased and the area of reservoir can be reduced. Pre-treatment to add extra magnetization of suspended solid was studied Iron hydroxide and electrolytic dissociation were used for pretreatment. High gradient magnetic separation (HGMS) system was used for removing of magnetized suspended solid. Removing ratio showed over than 99% in the coolant containing magnetic fines. Magnetic properties of suspended solid were investigated after mixed with Al2(SO2)3 and organic flocculant by using electrochemical treatment.
-
In this paper, the authors propose the RTDS (Real Time Digital Simulator) simulation method which puts a real HTS (High Temperature Superconducting) tape into the simulated voltage feedback system of HTS tape. To perform the RTDS based simulation, the voltage feedback system of HTS tape with hardware is designed and connected to the RTDS. This simulation method is the world first in order to obtain much better for installation of HTS power cable into a utility network.
-
The important merit of Bi-2212/Ag wire is to apply cable as round wire state. Bi-2212 high Tc superconducting wires were fabricated in order to apply Rutherford cable near the future. Various Ag ratio from 0.22 to 0.42 of Ag tubes for PID (powder-In-Tube) process were used to investigate the workability and to prevent breakage of filaments during drawing. In order to find proper heat treatment condition, we investigated micro-structure of Bi-2212/Ag wires by using differential thermal analysis, XRD and SEM. The effect of atmosphere on the peritectic decomposition temperature of precursor was investigated. The shape of grain was observed by SEM to investigate Bi-2212 phase formation in filaments. The higher of Ag ratio of mono filament had the higher critical current density, Jc. The wire with 0.42 of Ag ratio showed 7,886 A/cm2 of Jc at 77K.
-
The
$I_c$ degradation behavior of YBCO CC tapes due to torsional deformation has been investigated. Particularly, the influence of torsion angle on the$I_c$ in HTS tapes was examined at 77K (self-field). At low torsional angles or shear strains, the$I_c$ degradation was small and gradual. Also, a good consistency of the$I_c$ degradation behaviors was found along the longitudinal direction under torsion when multiple voltage terminals were adopted for investigating the homogeneity of the$I_c$ degradation. -
High current behaviors of the grounded gate extended drain N-type metal-oxide-semiconductor field effects transistor (GG_EDNMOS) electro-static discharge (ESD) protection devices are analyzed. Simulation based contour analyses reveal that combination of BJT operation and deep electron channeling induced by high electron injection gives rise to the 2-nd on-state. Thus, the deep electron channel formation needs to be prevented in order to realize stable and robust ESD protection performance. Based on our analyses, general methodology to avoid the double snapback and to realize stable ESD protection is to be discussed.
-
Silicon-on-insulator(SOI) MOSFET with SiGe/Si heterostructure channel is an attractive device due to its potent use for relaxing several limits of CMOS scaling, as well as because of high electron and hole mobility and low power dissipation operation and compatibility with Si CMOS standard processing. SOI technology is known as a possible solution for the problems of premature drain breakdown, hot carrier effects, and threshold voltage roll-off issues in sub-deca nano-scale devices. For the forthcoming generations, the combination of SiGe heterostructures and SOI can be the optimum structure, so that we have developed SOI n-MOSFETs with SiGe/Si heterostructure channel grown by reduced pressure chemical vapor deposition. The SOI n-MOSFETs with a SiGe/Si heterostructure are presented and their DC characteristics are discussed in terms of device structure and fabrication technology.
-
금속을 도핑 함으로써 전기전도도가 향상될 것으로 생각되는 산화바나듐 나노선에 대하여 열처리 전후의 전기적 특성을 비교하였다. sol-gel 방법으로 만들어진 산화바나듐 xerogel(
$V_{1.66}Mo_{0.33}O_5{\cdot}nH_2O$ )을$Si_3N_4$ 절연막이 성장된 Si기판위에 분산시키고 Ti/Au으로 전극을 증착한 후 열처리 한 것과 하지 않은 두 시료의 전류-전압특성을 비교 분석하였다. -
Transparent conductive oxide (TCO) are necessary as front electrode for increased efficiency of LED. In our paper, transparent conducting alminum-doped Zinc oxide films (AZO) were prepared by rf magnetron sputtering on glass (corning 1737) substrate, were then annealed at temperature
$400^{\circ}C$ for 2hr. The smooth AZO films were etched in diluted HCL (0.5%) to examine the surface morphology properties as a variation of the time. The surface morphology of AZO films increased as a time. We observed texture structure of AZO thin film etched for 1min. -
IT 산업 및 반도체 산업이 발전함에 따라 초소형, 고집적화 시스템의 요구에 대응하기 위해서 고해상도 및 고정밀의 패턴 구현에 관한 많은 연구가 진행되고 있다. 이러한 연구는 각종 산업제품의 PCB(Printed Circuit Board) 및 디스플레이 장치인 PDP(Plasma Display Panel), LCD(Liquid Crystal Display) 등에 적용되어 널리 응용되고 있다. 현재 널리 사용되는 인쇄 회로 기판은 마스킹 후 선택적 에칭 방식을 적용하여 금속 배선을 형성하는 방식을 적용하고 있다. 이러한 방식은 설계가 변경될 경우 마스크를 다시 제작해야 하는 번거로움이 있어 설계 변경이 용이하지 않고 더욱 길어진 생산시간의 증가로 인하여 생산성 및 집적도가 떨어지게 된다. 따라서 최근에는 이러한 한계를 극복하기 위한 방안이 여러 가지 측면에서 시도되고 있으며, 그 중에서도 Inkjet Printing 기술에 대한 관심이 증가하고 있다. 본 연구에서는 Inkjet Printing 방식을 적용하여 금속 배선을 형성하고 선폭과 두께의 오차를 줄여 배선의 Tolerance 를 개선할 수 있는 방안을 제안하였다. Inkjet Printing 방식을 이용한 기존의 금속 배선 형성은 고해상도의 DPI(Dot Per Inch)에서 잉크 액적이 뭉치는 Bulge 현상이 발생되어 원하는 형상 및 배선의 폭을 구현하는데 어려움이 있었다. Bulge 현상은 배선의 불균일성을 야기할 뿐만 아니라 근접한 배선의 간섭에도 영향을 미처 금속 배선의 기능을 할 수 없는 단점을 발생시킨다. 따라서 본 연구에서는 이러한 Bulge 현상을 줄이고 배선간의 간섭을 방지하여 원하는 배선을 용이하게 형성할 수 있는 순차적 인쇄 방식을 적용하였다. 본 연구에서는 노즐직경 35um 의 Inkjet Head 와 나노 Ag 입자 잉크를 사용하여 Glass 표면 위에 배선을 형성하고 배선의 폭과 두께를 측정하였다. 또한 순차적 인쇄 방식을 적용하여 700DPI 이상의 고해상도에서 나타날 수 있는 Bulge 현상이 감소하였음을 관찰하였으며 금속 배선의 Tolerance를 10%내외로 유지할 수 있음을 확인하였다.
-
Cadmium telluride (CdTe) films have been prepared on Corning 7059 glass, molybdenum (Mo), and polyimide (PI) substrates by r.f. magnetron sputtering technique. The influence of the sputter pressure on the structural and optical properties of these films was evaluated. In addition, a comparison of the properties of the films deposited on fferent substrates was performed.
-
ZnO semiconductor has a wide band gap of 3.37 eV and a large exciton binding energy of 60 meV, and displays excellent sensing and optical properties. In particular, ZnO based 1D nanowires and nanorods have received intensive attention because of their potential applications in various fields. We grew ZnO buffer layers prior to the growth of ZnO nanorods for the fabrication of the vertically well-aligned ZnO nanorods without any catalysts. The ZnO nanorods were grown on Si (111) substrates by vertical MOCVD. The ZnO buffer layers were grown with various thicknesses at
$400^{\circ}C$ and their effect on the formation of ZnO nanorods at$300^{\circ}C$ was evaluated by FESEM, XRD, and PL. The synthesized ZnO nanorods on the ZnO film show a high quality, a large-scale uniformity, and a vertical alignment along the [0001]ZnO compared to those on the Si substrates showing the randomly inclined ZnO nanorods. For sample using ZnO buffer layer, 1D ZnO nanorods with diameters of 150-200 nm were successively fabricated at very low growth temperature, while for sample without ZnO buffer the ZnO films with rough surface were grown. -
이중 에피층을 가지는 SOI (Silicon-On-Insulator) RESURF(REduced SURface Field) LIGBT(Lateral Insulated Gate Bipolar Transistor) 소자의 에피층 두께에 따른 항복전압 특성을 분석하였다. 이중 에 피층 구조를 가지는 SOI RESURF LIGBT 소자는 전하보상효과를 얻기 위해 기존 LIGBT 소자의 n 에피로 된 영역을 n/p 에피층의 이중 구조로 변경한 소자로 n/p 에피층 영역내의 전하간 상호작용에 의해 에피 영역 전체가 공핍됨으로써 높은 에피 영역농도에서도 높은 항복전압을 얻을 수 있는 소자이다. 본 논문에서는 LIGBT 에피층의 전체 두께와 농도를 고정한 상태에서 n/p 에피층의 두께가 변하는 경우에 항복전압 특성의 변화에 대해 simulation을 통해 분석하였다.
-
A stoichiometric mixture for
$Cdln_2Te_4$ single crystal was prepared from horizontal electric furnace. The$Cdln_2Te_4$ single crystal was grown in the three-stage vertical electric furnace by using Bridgman method. The quality of the grown crystal has been investigated by the x-ray diffraction and the photoluminescence measurements. The (001) growth plane of oriented$Cdln_2Te_4$ single crystal was confirmed from back-reflection Laue patterns. The carrier density and mobility of$Cdln_2Te_4$ single crystal measured with Hall effect by van der Pauw method are$8.61{\times}10^{16}\;cm^{-3}$ and$242\;cm^2/V{\cdot}s$ at 293 K, respectively. The temperature dependence of the energy band gap of the$Cdln_2Te_4$ single crystal obtained from the absorption spectra was well described by the Varshni's relation,$E_g(T)\;=\;1.4750\;eV\;-\;(7.69{\times}\;10^{-3}\;eV)T^2/(T+2147)$ . -
반도체 기술이 초고집적화 되어감에 따라 공정에서 선폭이 줄어들고, 박막을 다층으로 제조하는 것이 중요하게 되었다. 이와 같은 제조 공정 하에서는 Si 기판과 금속 박막간의 확산이 커다란 문제로 부각되어 왔다. 특히 Cu는 높은 확산성에 의하여 Si 기판과 접합에서 많은 확산에 의한 문제가 발생하게 되며. 또한 선폭이 줄어듦에 따라 고열이 발생하여 실리콘으로 spiking이 발생하게 된다. 이를 방지하기 위하여 우리는 3개의 화합물로 구성된 Tungsten-Carbon-Nitrogen (W-C-N) 확산방지막을 사용하였다. 실험은 물리적 기상 증착법 (PVD)으로 질소비율을 변화하며 확산방지막을 증착하였고, 이를 여러 온도에서 열처리하여 X-ray Diffraction 분석을 하였다.
-
I-D ZnO nanostructures were fabricated by thermal evaporation method on Si(100), GaN and
$Al_2O_3$ substrates without a catalyst at the reaction temperature of$700^{\circ}C$ . Only pure Zn powder was used as a source material and Ar was used as a carrier gas. The shape and growth direction of synthesized ZnO nanostructures is determined by the crystal structure and the lattice mismatch between ZnO and substrates. The ZnO nanostructure on Si substrate were inclined regardless of their substrate orientation. The origin of ZnO/Si interface is highly lattice-mismatched and the surface of the Si substrate inevitably has the$SiO_2$ layer. The ZnO nanostructure on the$Al_2O_3$ substrate was synthesized into the rod shape and grown into particular direction. For the GaN substrate, however, ZnO nanostructure with the honeycomb-like shape was vertically grown, owing to the similar lattice parameter with GaN substrate. -
In the present work, we investigate the basic physical and thermal properties and electrical resistance change due to phase change in chalcogenide-based
$Ge_1Se_1Te_2$ and$Ge_2Se_2Te_5$ thin films. The phase transition from amorphous to crystalline states, and vice versa, of$Ge_1Se_1Te_2$ and$Ge_2Se_2Te_5$ thin films by applying electrical pulses have been studied. The reversible phase transition between the amorphous and crystalline states, which is accompanied by a considerable change in electrical resistivity, is exploited as means to store bits of information. -
To investigate the ZnO thin films which are interested in the next generation of short wavelength LEDs and Lasers, the ZnO thin films were deposited by RF magnetron sputtering system. Al sputtering process of ZnO thin films substrate temperature, work pressure respectively is
$100^{\circ}C$ and 15 mTorr, and the purity of target is ZnO 5N. The ZnO thin films were in-situ annealed at$600^{\circ}C$ ,$800^{\circ}C$ in$O_2$ atmosphere. Phosphorus (P) and arsenic (As) were diffused into ZnO thin films sputtered by RF magnetron sputtering system in ampoule tube which was below$5{\times}10^{-7}$ Torr. The dopant sources of phosphorus and arsenic were$Zn_3P_2$ and$ZnAS_2$ . Those diffusion was perform at$650^{\circ}C$ during 3hr. We confirmed that p-type properties of ZnO thin films were concerned with dopant sources rather than diffusion temperature. -
Ta-Mo를 co-sputtering으로 증착하여 MOS-C(Capacitor)를 제작하였다. 열적 화학적 안정성을 판별하기 위해
$600^{\circ}C$ ,$700^{\circ}C$ ,$800^{\circ}C$ 에서 급속 열처리를 행하였고, C-V 측정으로 얻은 데이터로 평탄 전압, 일함수, EOT값을 계산 하였다. I-V 측정으로 누설 전류 특성을 파악 하였다. 위의 실험 데이터를 종합하여 폴리 실리콘 게이트를 대체할 차세대 게이트 물질로써 Ta-Mo 게이트 물질을 제안하였다. -
ZnO Films have been prepared on polycarbonate (PC), polyethylene terephthalate (PET), and Coming 7059 substrates by r.f. magnetron sputtering technique. A comparison of the properties of the films deposited on polymer and glass substrates was performed. In addition, the effect of the sputter power on the structural and optical properties of these films was evaluated.
-
일반적으로 screen printing 전극은 양산용 태양전지에 많이 응용되고 있다. 이것은 공정이 진공상태 내에서 이루어지지 않으므로 비교적 간단하게 증착 가능하고, co-firing으로 인한 공정단계의 함축과, 기판의 화학적인 오염이 적으며, 시료를 용도에 따라 다양하게 선택적으로 사용할 수 있고, 많은 수량의 태양전지에 전극을 저비용으로 빠르게 형성할 수 있기에 throughput 이 높은 장점이 있다. 하지만 lithography에 의한 전극보다 저항이 높고, uniformity가 낮은 단점이 있다. 본 연구에서는 Ag 전면전극과 Al 후면전극을 형성하고 conventional furnace에서 co-firing하여 열처리조건에 따라서 전극이 최적화된 가장 낮은 저항을 갖도록 하여 단점을 개선하여 보았다.
-
인쇄회로를 제작하기 위하여 기판상에 에칭 레지스트, 도금막, 절연재료, 솔더 마스크 등을 패터닝 하게 되는데, 일반적으로 이러한 유기체 막들은 대부분 액상이나 고형의 필름 형태로 패턴을 형성하게 된다. 형성된 패턴과 동박과의 접착력 향상이 가장 주요한 문제점 중의 하나이다. 상대적으로 편평한 동박면과 유기체 막과의 접착력을 향상시키기 위해 일반적으로 접촉 면적을 증가시키기 위한 표면개질을 하게 된다. 기계적 브러싱이나 스크러빙에 의해서도 기판상의 동박의 surface topography 를 개선하기 위한 노력이 시도 되고 있지만, 본 연구에서는 microetching 방법에 의해서 화학적으로 동박 표면상에 최대한 요철을 많이 형성하여 에칭 레지스트와 동박 간의 접착력을 증대시키기 위한 연구를 수행하였다.
-
차세대 반도체 정보기억장치로서 활발하게 연구되고 있는 나노 부유 게이트 메모리 (Nano Floating Gate Memory) 소자를 위해 필수적인 요소인 나노 크리스탈의 형성을 위하여 다양한 굴절률을 가진 실리콘 질화막 (SiNx)을 형성하고 고온 열처리 (rapid thermal annealing)를 실시하여 나노 크리스탈의 형성과 특성에 대한 연구를 진행하였다. 다양한 굴절률을 가진 실리콘 질화막을 형성한 후 나노 크리스탈의 형성을 위하여 열처리를 수행하였고, photoluminescence (PL)를 통하여 굴절률이 높은 Si-rich SiNx 박막의 고온 열처리를 수행한 실리콘 질화막으로부터 나노 크리스탈의 형성을 확인할 수 있었다. 또한 열처리한 실리콘 질화막 위에 Al을 증착하여 MIS 구조를 형성한 후 Capacitance-Voltage (C-V) 특성을 측정하였으며,
$900^{\circ}C$ 에서 열처리한 박막에서 나노 크리스탈에 의한 메모리 효과를 확인할 수 있었다. -
PRAM (phase-change random access memory)은 전류 펄스 인가에 따른 기록매질의 비정질-결정질 간 상변화와 그에 동반되는 저항변화를 이용하는 차세대 비휘발성 메모리 소자로서 연구되어지고 있다. 본 논문에서는
$(GeTe)_x(Sb_2Te_3)$ pseudobinary line을 따르는 조성(x=0.5, 1, 2, 8)의 벌크 및 박막시료를 제작하고 원자-스케일의 구조적 상변화를 분석하였다. 열증착을 이용하여 Si 기판위에 200nm 두께의 박막을 형성, 질소분위기 하에서 100-450도 범위에서 열처리 하였다. XRD를 통해 열처리 온도에 따른 구조적 분석을 실시하였다. x=8의 조성을 제외한 전체 박막에 대해 열처리 온도 증가에 따라 fcc와 hexagonal 구조가 순차적으로 나타났으며 일부에서는 혼종의 상구조를 보였다. 특히,$Ge_2Sb_2Te_5$ 박막에 대하여 EXAFS (extended x-ray absorption fine structure) 및 XPS를 이용하여 상변화의 원자-스케일 구조분석을 하였다. -
$AgInS_2$ single crystal thin filmsl was deposited on throughly etched semi-insulator GaAs(100) substrate by the Hot Wall Epitaxy (HWE) system. The source and substrate temperature were$680^{\circ}C$ and$410^{\circ}C$ respectively, and the thickness of the single crystal thin films is$6{\mu}m$ . From the photocurrent spectrum by illumination of perpendicular light on the c-axis of the$AgInS_2$ single crystal thin film, we have found that the values of spin orbit coupling${\Delta}So$ and the crystal field splitting${\Delta}Cr$ were 0.0098 eV and 0.15 eV at 10 K, respectively. In order to explore the applicability as a photoconductive cell, we measured the sensitivity ($\gamma$ ), the ratio of photocurrent to darkcurrent (pc/dc), maximum allowable power dissipation (MAPD), spectral response and response time. The result indicated that the samples annealed in S vapour the photoconductive characteristics are best. Therefore we obtained the sensitivity of 0.98, the value of pc/dc of$1.02{\times}10^6$ , the MAPD of 312 mW, and the rise and decay time of 10.4ms and 10.8ms respectively. -
The stochiometric mix of evaporating materials for the
$ZnGa_2Se_4$ single crystal thin films were prepared from horizental furnace. To obtains the single crystal thin films,$ZnGa_2Se_4$ mixed crystal were deposited on throughly etched Si(100) by the Hot Wall Epitaxy (HWE) system. The temperates of the source and the substrate were$590^{\circ}C$ and$450^{\circ}C$ , respectively. The crystalline structure of single crystal thin films was investigated by the double crystal X-ray diffraction(DCXD). Hall effect on this sample was measured by the method of van der Pauw and studied on carrier density and mobility dependence on temperature. -
Metal organic chemical vapor deposition has been investigated for growth of
$Sb_{2-x}Bi_xTe_3$ films on (001) GaAs substrates using diisopropyltelluride, triethylantimony and trimethylbismuth as metal organic sources. The thermoelectric properties were measured at room temperature and include Seebeck coefficient, electrical conductivity and Hall effect. In-plane carrier concentration and electrical Hall mobility were highly dependent on precursor's composition ratio and deposition temperature. The thermoelectric Power factor($={\alpha}^2{\sigma}$ ) was calculated from theses properties. The best Power factor was$2.6\;{\times}\;10^{-3}W/mK^2$ , given by grown$Sb_{1.6}Bi_{0.4}Te_3$ at$450^{\circ}C$ . These materials could potentially be incorporated into advanced thermoelectric unicouples for a variety of power generation applications. -
Background doping concentration (BDC) is proven to be a critical factor to affect the high current behavior of the extended drain NMOSFET (EDNMOS) devices. The EDNMOS device with low BDC suffers from strong snapback in the high current region, which results in poor electrostatic discharge (ESD) protection performance and high latchup risk. However, the strong snapback can be avoided in the EDNMOS device with high BDC. This implies that both the good ESD protection performance and the latchup immunity can be realized in terms of the EDNMOS by properly controlling its BDC.
-
Organic thin film transistors(OTFTs) were simulated by a SPICE model adopted in the amorphous TFTs(a-Si:H TFTs). The gate voltage-dependent mobilities were assumed to fit the representative current-voltage characteristics. The optimal fitting procedures were suggested to compare the experimental data with the mathematical expressions used in the amorphous TFTs. Each SPICE parameter explains the gate dependent mobilities in OTFTs which might originate from the influence of the hopping conduction.
-
ZnO is a promising material to make high efficiency violet or blue light emitting diodes (LEDs) for its large binding energy (60meV) and big bandgap. But the high quality p-type conduction of ZnO is a dilemma to achieve LEDs with it. In present study, we presented a reliable method to prepare ZnO thin films on (100)silicon substrates by RF magnetron sputtering in the mixture ambient of
$N_2$ and$O_2$ , accompanying with low pressure annealing in the sputtering chamber in$O_2$ at$600^{\circ}C$ and$800^{\circ}C$ respectively. X-ray diffraction and Hail effect with Van der Paul method were performed to test ZnO films. Seeback effect was also carried out to identify carrier types in ZnO films and showed the N-doped ZnO film annealed at$800^{\circ}C$ had achieved p-type conduction. -
본 논문에서 탄소나노튜브의 성장 제어를 위해 양극산화 알루미늄 템플레이트를 사용하였다. Si 기판위에 TiN과 Ni 층을 순서대로 증착하였으며 알루미늄을 그 위에 증착하였다. 또한 양극산화 과정은 수산법을 이용하였고 탄소나노튜브의 성장은 마이크로웨이브 플라즈마 화학기상증착법을 이용하여 성장하였다. 양극산화 알루미늄 층 과 탄소나노튜브의 관찰을 위해서 FE-SEM 을 사용하였으며 성장된 탄소나노튜브의 직경은 40 nm 이고 길이는 약
$1\;{\mu}m$ 내외로 확인되었다. -
Capacitance-voltage(C-V) 측정평가를 통하여 ZnO/GaN 이종접합구조의 전기적인 특성을 조사한다. 실온에서 10kHz의 주파수에서 얻은 ZnO/GaN의 이종접합구조에 대한 C-V 측정결과는 이종접합계면에서 고밀도의 전자가 축적되어 있음을 나타낸다. 이것은 ZnO/GaN 이종접합계면의 다른 재료에서 볼 수 없는 큰 전도대불연속에 기인한 것인데, 각각의 층의 전도도을 제어함으로 이종접합계면에 축적되는 전자밀도를
${\sim}10^{19}cm^{-3}$ 까지 증가시킬 수 있다. 따라서 ZnO/GaN 이종접합구조는 이종접합(合)트래지스터로서 유망한 재료로 판단된다. -
$Si_{0.88}Ge_{0.12}$ /Si heterostructure channels grown by RPCVD were employed to n-type metal oxide semiconductor field effect transistors(MOSFETs), and their electrical properties were investigated. SiGe nMOSFETs presented very high transconductance compared to conventional Si-bulk MOSFETs, regardless substantial drawbacks remaining in subthreshold-slope,$I_{off}$ , and leakage current level. It looks worthwhile to utilize excellent transconductance properties into rf applications requesting high speed and amplification capability, although optimization works on both device structure and unit processes are necessary for enhanced isolation and reduced power dissipation. -
본 연구에서는 태양전지 표면에 입사된 광자의 반사손실을 최소화하기 위한 방법으로써 기판 표면에 다공성 실리콘층을 이용한 반사방지막 (Anti-Reflection Coating, ARC)을 형성하는 실험을 하였다. 다공성 실리콘(Porous silicon, PSi)은 실온에서 일정 비율로 만든 전해질 용액(
$HF-C_2H_5OH-H_2O$ )을 사용하여 실리콘 표면을 양극산화처리 함으로써 단순 공정만으로 실리콘 기판의 반사율을 높일 수 있다. 또한 새로운 레이어(layer)없이 기존 기판을 식각시켜 만들기 때문에 박막형 태양전지를 제작시 적용이 용이하다. 저비용, 단순공정의 이점을 살려 전류밀도에 따른 PSi의 반사방지막으로써의 특성을 비교 분석하였다. -
글씨 전도성 잉크의 인쇄공정에 있어서 반복인쇄를 정밀하게 수행할 수 있는 기술로서 align system을 개발하였다. 이 system의 resolution 은 0.5um 이며 인쇄 working plate의 이송속도는 최대 1.5m/s 이다. 현재 소성 공정을 포함한 반복인쇄 실험은 30um이상의 drop탄착점 오차를 보이고 있으며, 두께와 전기전도도 향상을 위한 정밀한 align system이 필요하게 되었다. 이를 충족시키기 위해 개발되어진 초정밀 align system은
$1{\sim}2{\mu}m$ 이내의 오차로 반복인쇄가 가능하며, head가 토출하는 잉크의 straightness 및 전도성 잉크를 토출하는 인쇄평가기의 기계적 정밀도도 확인할 수 있다. 모든 잉크 배선의 두께 항상 인쇄실험이 가능하며, substrate의 종류와 잉크에 제한적이지 않다. 특히 prototype의 기판배선을 위해 PCB에 배선을 형성할 시에 본 system으로 직접 align mark를 지정할 수 있어 기판 내에 미리 제작되어진 align용 인식마크가 불필요하다. 이 system을 이용하여 drop과 배선의 반복인쇄실험을 진행하였으며, 광학현미경과 3D profiler를 사용하여 분석해 보았다. -
Single crystalline ZnO fims were successfully grown on r-plane sapphire substrate by plasma-assisted molecular beam epitaxy. Epitaxial relationship between the ZnO film and the-r-plane sapphire was determined to be [-1101]
$Al_2O_3\;{\parallel}$ [0001]ZnO, [11-20]$Al_2O_3\;{\parallel}$ [-1100]ZnO based on the in-situ RHEED analysis and confirmed again by HRXRD measurements. Grown (11-20) ZnO films showed faceted structure along the <0001> direction and the RMS roughness was about 4 nm. -
$CdS_{0.69}Se_{0.31}$ single crystal grown by sublimation method. Hall effect measurement were carried out by the Van der Pauw method. The measurement values under the temperature were found to be carrier density$n\;=\;1.95\;{\times}\;10^{23}m^3$ , Hall coefcient$RH\;=\;-3.21\;{\times}\;10^{-5}m^3/c$ , conductivity${\sigma}\;=\;362.41\;{\Omega}^{-1}m^{-1}$ , and Hall mobility${\mu}\;=\;1.16\;{\times}\;10^{-2}m^2/v.s$ . -
$AgGaSe_2$ single crystal thin films grown by using hot wall epitaxy (HWE) system. The single crystal thin films were investigated by photoluminescence and double crystal X-ray diffraction(DCXD) measurement. From the photoluminescence measurement of$AgGaSe_2$ single crystal thin film, we observed free excition ($E_x$ ) observable only in high quality crystal and neutral bound exciton ($D^{\circ}$ ,X) having very strong peak intensity. And, the full width at hall maximum and binding energy of neutral donor bound excition were 8 meV and 14.1 meV, respectively. By Haynes rule, an activation energy of impurity was 141 meV. -
Scaling rules for TFT application devices have led to the necessity of ultra thin dielectric films and high-k dielectric layers. In this paper, The advantages of high concentration of nitrogen in silicon oxide layer deposited by using
$N_2O$ in Inductively Coupled Plasma Chemical Vapor Deposition (ICP-CVD) reported about Ellipsometric measurement, Capacitance-Voltage characterization and processing conditions. -
ZnO deposition parameters are not independent and have a nonlinear and complex properties respectively. Therefore, finding optimal process conditions are very difficult and need to do many experiments. To predict ZnO deposition result, neural network was used. To gather training data, Si, GaAs, and Glass were used for substrates, and substrate temperature, work pressure, RF power were
$50-500^{\circ}C$ , 15 mTorr, and 180-210 W respectively, and the purity of target was ZnO 4N. For predicting the result of ZnO deposition process exactly, sensitivity analysis and drawing a response surface was added. The temperature of substrate was evaluated as a most important variable. As a result, neural network could verify the nonlinear and complex relations of variables and find the optimal process condition for good quality ZnO thin films. -
Boron phosphide thin films were prepared on the glass substrate from boron and phosphorous alkoxide precursors by sol-gel processing. Boron phosphide sol with equivalent ratio
$(CH_3O_3)B$ :$C_{18}H_{15}P$ = 13 : 2 was selected. Films spin-coated at 4000 rpm for 30 s were coated uniformly. Decomposition and crystallization behavior were examined using DSC/TGA and XRD. The films were sintered at 250, 300 and$400^{\circ}C$ . It was determined that crystal structure has a rhombohedral phase. The microstructure of thin film was observed using SEM. Thin films approximately showed a visible ray transmittance of 85 %. -
High quality 3D-heterostructures were constructed by selective area (SA) molecular beam epitaxy (MBE) using a specially patterned GaAs (001) substrate. MBE growth parameters such as substrate temperature, V/III ratio, growth ratio, group V sources (
$As_2$ ,$As_4$ ) were varied to calibrate the selective area growth conditions. Scanning micro-photoluminescence ($\mu$ -PL) measurements and following analysis revealed that the gradually (adiabatically) coupled 2DEG-1D-1DEG field effect transistor (FET) system was realized. This 3D-heterostructure is very promising for the realization of the meso-scopic electronic devices and circuits since it makes it possible to form direct ohmic contact to the (quasi) 1DEG. -
In this study, ultra thin films of ferroelectric vinylidene fluoride-trifluoroethylene (VF2-TrFE) copolymer were fabricated on degenerated Si (n+,
$0.002\;{\Omega}{\cdot}cm$ ) using by spin coating method. A 1~5 wt% diluted solution of purified vinylidene fluoride-trifluoroethylene (VF2:TrFE=70:30) in a dimethylformamide (DMF) solvent were prepared and deposited on silicon wafers at a spin rate of 2000~5000rpm for 30 seconds. After annealing in a vacuum ambient at$200^{\circ}C$ for 60 min, upper gold electrodes were deposited by vacuum evaporation for electrical measurement. X-ray diffraction results showed that the VF2-TrFE films on Si substrates had$\beta$ -phase of copolymer structures. The capacitance on$n^+$ -Si(100) wafer showed hysteresis behavior like a butterfly shape and this result indicates clearly that the dielectric films have ferroelectric properties. The typical measured remnant polarization (2Pr) and coercive filed (EC) values measured using a computer controlled a RT-66A standardized ferroelectric test system (Radiant Technologies) were about$0.54\;C/cm^2$ and 172 kV/cm, respectively, in an applied electric field of${\pm}0.75\;MV/cm$ . -
본 논문에서는 탄소나노튜브를 성장시킨 후 열적, 화학적 처리를 이용하여 탄소나노튜브의 분산과 화학적 변화의 관찰하였다. 실험에 이용된 탄소나노튜브는 고분산성 탄소나노튜브기술에 의하여 제조된 탄소나노튜브를 이용하였고 후처리 조건은 열처리와 액상처리를 병행하여 사용하였다. 처리된 탄소나노튜브는 SEM 과 TEM 을 이용하여 관찰하였고 화학적 결합을 확인하기 위하여 FT-IR 분석을 이용하였다.
-
In this paper we proposed transient model for NPT(Non Punch-Through) IGBT(Insulated Gate Bipolar Transistor) with gate resistances. As gate resistance increases, turn-off time increases. But If gate resistance is small, overshoot voltage increase. To analyze the effect of gate resistance, the transient model is made and the experiments are conducted. We used gate resistances of values; 8[
$\Omega$ ], 140[$\Omega$ ], 810[$\Omega$ ] for simulations and experiments. We compared theoretical and experimental results and obtained good agreements. -
Zeolite의 이온교환 특성과 균일한 기공과 결정 모양을 가지는 구조적 특성을 이용하여 CdS 양자점 클러스터를 합성하였다. 합성된 CdS-Zeolite는 구조적으로 안정된 나노 크기의 새로운 반도체 물질이 된다. 또한 Zeolite 결정들이 유리판에 밀집하여 배열되는 경향을 이용하므로 CdS 양자점이 합성된 제올라이트를 기판에 정렬, 박막을 형성한다. CdS-Zeolite 결정 박막은 SEM 측정을 통해 구조와 표면 정렬 상태를 알고, photoluminescence 측정으로 양자점 특성의 발광 파장을 가짐을 알 수 있다.
-
We investigate anisotropic texturing method for crystalline silicon solar cells with sodium carbonate solutions. Texturing temperature have a large effect on the density of pyramid. The dependence of the surface reflectance on solution temperature and the etching time was investigated. The surface morphology was observed by scanning electron microscope and the surface reflectance was evaluated. The reflection from the silicon surface in the wavelength range 400 to 1000nm is reduced to about 12%.
-
산업기술의 고도화에 따른 IT 산업의 급속한 발전으로 각종 전자, 정보통신기기에 대해 더욱 소형화 고성능화를 요구하고 있다. 이와 같은 경향에 따라 더욱 향상된 기능을 가지고 각종 소자 부품의 개발과 동시에 유독 물질 발생이 없는 청정생산기술 개발에 대한 요구가 끊임없이 제기 되어 왔다. 이러한 요구에 부응하여 기술들이 개발되고 있으며 그 중의 하나로 잉크젯 프린팅 기술이 연구되고 있다. 특히 Dod(Drop on Demand) 방식의 잉크젯은 가정용 프린터로 개발되어 널리 보급된 기술이지만, 이 기술을 PCB 제조기술에 전용하면 친환경 생산공정으로 부품 성장밀도를 증대 시킬 수 있다. 기존의 PCB 제조기술은 전극과 신호 패턴을 형성시키기 위하여 노광공정과 에칭공정을 반복적으로 사용하고 있는데, 노광공정에서 쓰이는 마스크와 유틸리티 설비 유지 비용의 문제가 대두되고 있다. 노즐로부터 분사된 잉크 액적들의 집합으로 기판위에 점/선/면의 인쇄이미지를 구현하게 된다. 그러므로 인쇄 해상도는 잉크액적 및 인쇄 방법, 기판과의 상호작용에 크게 의존하게 된다. 잉크 액적과 기판의 상호작용에 영향을 미치는 요소로는 잉크의 물리화학적 물성(밀도, 점도, 표면장력), 잉크 액적의 충돌 조건(액적 지름, 부피, 속도), 그리고 기판의 특성(친수/소수성, Porous/Nonporous, 표면조도 등)을 들 수 있겠다. 우선적으로 노즐을 통과해서 분사되는 액적의 크기에 따라 기판위에 형성되는 라인의 두께 및 폭이 결정된다. 떨어진 액적이 기판위에서 퍼지는 것을 UV 조사를 통한 가경화 과정을 통해서 최종적으로 라인의 투께 및 폭을 조절하려고 한다. 따라서 선폭
$75{\mu}m$ 의 일정한 미세 배선을 형성시키기 위해 액적 크기 조절과 탄착 resist 액적 표면의 UV 가경화 조건으로 구현하려고 한다. 또한 DPI(Dot Per Inch) 조절을 통한 인쇄로 탄착 resist의 두께 확보 후 에칭시 박리되는 현상을 억제 시키려 한다. -
CdSe single crystal was grown by sublimation method in the two-step vertical electric furnace. This CdSe single crustal had hexagonal structure whose lattice constants of
$a_0$ and$c_0$ were measured$4.299\;{\AA}$ and$7.009\;{\AA}$ by extrapolation method, respectively. CdSe single crystal was n-type semiconductor values were measured from Hall data by Van der Pauw method in the room temperature. Mobility tends to increase in proportion to$T^{3/2}$ from 33K to 130K due to impurity scattering. but mobility tends to decrease in proprtion to$T^{-3/2}$ from 130K to 293K due to lattice scattering. CdSe thin film was made by electron beam evaporation technique had also hexagonal structure. The grain size of this thin film was grown to$1{\mu}m$ as a result of annealing in the vapor of Ar or Cd. Annealde CdSe thin film was n-type semiconductor whose carrier density had about$7{\times}10^{12}cm^{-3}$ and its mobility had about$1.6{\times}10^3cm^2/V$ sec at room temperature. -
$CuInSe_2$ single crystal thin films was deposited on thoroughly etched semi-insulating GaAs(100) substrate by the hot wall epitaxy (HWE) system. The temperature dependence of the energy band gap of the$CuInSe_2$ obtained from the absorption spectra was well described by the Varshni's relation,$E_g(T)\;=\;1.1851\;eV\;-\;(8.99\;{\times}\;10^{-4}\;eV/K)T^2/(T\;+\;153K)$ . After the as-grown$CuInSe_2$ single crystal thin films was annealed in Cu-, Se-, and In-atmospheres, the origin of point defects of$CuInSe_2$ single crystal thin films has been investigated by the photoluminescence(PL) at 10 K. -
Two-step growth to incorporate the Mg atoms in the ZnO nanorods fabricate by thermal evaporation process and also utilized the ZnO film as a template. In the first step of low temperature, Zn seed metals with low melting temperature formed the droplet, and then MgZnO ternary nanorods were grown by injecting oxygen and evaporating Mg atoms in high temperature process of the second step. The vertical growth of the MgZnO nanorods with large-area distribution and uniformity was successfully performed on the ZnO template. We investigated the shape of the vertically grown 1-D MgZnO nanorods and characterized the optical and crystal properties. We confirmed the incorporation of Mg atoms by the EDS and PL spectrum.
-
본 논문에서는 PECVD를 이용하여 증착시킨 실리콘 산화막에 영향을 주는 파라미터 입력에 따른 박막의 특성을 평가하기 위하여 먼저 통계적 실험계획을 통해 산화막 특성에 유의한 영향을 미치는 요인을 분석하고, 분석된 결과를 이용하여 가장 유의한 교호작용을 신경망 모델링에서 입력파라미터로 포함시킴으로서 교호작용을 고려하지 않은 경우와의 학습결과를 비교하여 두가지 모델링 방법 중 교호작용을 고려한 신경망 모델의 경우가 PECVD의 물리적 현상을 더 명확히 설명할 수 있음을 확인했다.
-
차세대 반도체 공정을 위한 많은 노력 중 미세가공의 중요성이 날로 증가함에 따라 reactive ion etching (RIE)에 대한 연구 또한 그 중요성이 커지고 있다. 본 논문에서는 RIE 과정에서 etch rate과 uniformity에 영향을 줄 수 있는 요인 4가지 즉,
$CHF_3$ ,$O_2$ , chamber pressure, RF power의 변화에 대한 실험 계획법(DOE)을 통해 계획하고, 실험한 후 neural network를 통해 학습함으로서 RIE 공정상의 최적화를 모색하였다. -
We have investigated optical properties of Si/graded-
$Si_{1-x}Ge_x$ /Si heterostructures grown by reduced pressure chemical vapor deposition. Compared to standard condition using Si(100) substrate and growth temperature of$650^{\circ}C$ , Si(111) resulted in low growth rate and high Ge mole fraction. Also samples grown at higher temperatures exhibited increased growth rate and reduced Ge mole fraction. The features regarding both substrate temperature and crystal orientation, representing high incorporation of silicon supplied from gas stream played as a key parameter, illustrate that reaction control were prevailed in this process growth condition. Using secondary ion mass spectroscopy and spectroscopic ellipsometry, microscopic changes in atomic components could be analyzed for Si/graded-$Si_{1-x}Ge_x$ /Si heterostructures. -
The wet coating process could easily be made from large area film with printing paste mixed with semiconductor and binder material at room temperature. Semiconductor film fabricated about 25mm thickness was evaluated by field emissions-canning electron microscopy (FE-SEM). X-ray performance data such as dark current, sensitivity and signal to noise ratio (SNR) were evaluated. The
$Hgl_2$ semiconductor was shown in much lower dark current than the others, but the best sensitivity. In this paper, reactivity and combination character of semiconductor and binder material that affect electrical and X-ray detection properties would prove out though experimental results. -
Aluminum oxide films directly grown on n-type 6H-SiC(0001) substrates were fabricated by RF magnetron sputtering system. Metal-insulator-semiconductor(MIS) C-V properties with aluminum oxide thin films showed hysteresis and f1at band voltage shift. The dielectric constant of the film calculated from the capacitance at the accumulation region was about 5. Typical gate leakage current density of film at room temperature was the order of
$10^{-9}\;A/cm^2$ at the range of within 2MV/cm. The breakdown did not occur at the film within the measurement range. -
In this paper, two types of vertical SIT(Static Induction Transistor) structures are proposed to improve their electrical characteristics including the blocking voltage. Besides, the two dimensional numerical simulations were carried out using ISE-TCAD to verify the validity of the device and examine the electrical characteristics. First, a trench gate region oxide power SIT device is proposed to improve forward blocking characteristics. Second, a trench gate-source region power SIT device is proposed to obtain more higher forward blocking voltage and forward blocking characteristics at the same size. The two proposed devices have superior electrical characteristics when compared to conventional device. In the proposed trench gate oxide power SIT, the forward blocking voltage is considerably improved by using the vertical trench oxide and the forward blocking voltage is 1.5 times better than that of the conventional vertical power SIT. In the proposed trench gate-source oxide power SIT, it has considerable improvement in forward blocking characteristics which shows 1500V forward blocking voltage at -10V of the gate voltage. Consequently, the proposed trench oxide power SIT has the superior stability and electrical characteristics than the conventional power SIT.
-
$SrTa_2O_6$ (STA) thin films were fabricated by sol-gel method. The films annealed below$700^{\circ}C$ , showed amorphous phase and crystallization phase was observed after annealing over$800^{\circ}C$ . From high frequency capacitance-voltage measurements, 24nm thick STA thin film annealed at$900^{\circ}C$ , has an EOT of 5.7nm and a dielectric constant of 16. Leakage current characteristics were improved by the insertion of chemical oxide between STA and Si. Leakage current densities are around$3.5{\times}10^{-7}A/cm^2$ at 5V for the structure inserted chemical oxide but$1.4{\times}10^{-6}A/cm^2$ at 5V without chemical oxide. -
Prior to destructive testing, diagnostic tests were performed in eight high voltage motors. Diagnostic tests included polarization index, ac current, dissipation factor(
$tan{\delta}$ ) and partial discharge magnitude. The rewind of motor stator insulation at rated voltage is assessed by the results of these tests. After completing the diagnostic tests, the stator windings of motors were subjected to gradually increasing ac voltage, until the insulation punctured. No. 1 motor failed near rated voltage of 12.96 kV. The breakdown voltage of No. 4 motor was 6.99 kV which is lower that expected for good quality coils in 6.6 kV class motors. The failure was located in a line-end coil at the exit from the core slot. These two motors began operation in 1994. While testing No. 7 motor, flashover occurred between the stator winding and the stator frame at 15 kV. The relationship between the diagnostic test and the drop in insulation breakdown voltage was analyzed. -
In the response to the demand for electrical energy, much effort aimed to develop and commercialize high temperature superconducting (HTS) power equipments has been made around the world. In Korea, companies and universities are developing a power distribution and transmission class HTS transformer that is one of the 21st century superconducting frontier projects. The composite winding of transmission class HTS transformer is concentrically arranged H1-L-H2 from center. H1 is continuous disk type, L is layer type and H3 is continuous disk type. For the development of transmission HTS transformer with composite winding, the cryogenic insulation technology should be established. We have been analyzed insulation composition and investigated electrical characteristics such as breakdown of
$LN_2$ , barrier, kapton films, surface flashover on FRP in$LN_2$ . We are going to compare with measured each value and apply the value to most suitable insulating design of the HTS transformer. -
This paper describes the dielectric analysis of several insulators for home appliances. The dielectric characteristics of ABS(acrylonitrile butadiene styrene) were tested in relative permittivity, dielectric loss(
$tan{\delta}$ ) and specific resistance and compared with those of the other 4 insulators of PE(polyethylene) series. As a result, the relative permittivity of only the ABS slightly decreased with temperature. In the case of the tan6, the ABS showed higher dielectric loss than the other insulators but more excellent thermal performance. In addition, its changing pattern in specific resistance was very similar to that in$tan{\delta}$ . -
This study presents the thermal stress characteristics of TL porcelain insulators(healthy and ageing) by the accelerating thermal mechanical ageing test with forced temperature gradient. The test temperature gradient is
$95^{\circ}C(-35{\sim}60^{\circ}C)$ , it was focused to high temperature thermal stress as compared with IEC 60575 standard. There was no a discrimination in the case of healthy aluminous porcelain insulators, dissimilarly in crystoballite insulators according to this test method. It was indicated that the long tenn reliability by thermal stress was conformed reasonably through the conventional accelerating ageing test methods. -
This study presents the technology and application of hybrid insulation film for electric magnet wire. In order to make the high efficient motor with high space factor, it is necessary to develop a self-lubrication heat-resistant insulation film that can be used when the space factor 70% or more. A key to achieving high windability is to increase the lubricity and bonding strength of vanish, which for a magnet wire generally determines the mechanical scratches characteristics. Effective ways to reduce scratches include improving insulation film prepared by organic and inorganic hybrid synthesis methods.
-
Polymer thick film resistor paste was fabricated using various materials. Inorganic materials of carbon black and graphite were selected as fillers and epoxy resin was selected as organic material. Solvent with high boiling temperature was applied to adjust viscosity. A designed test coupon pattern was used to evaluate fabricated resistors. Aspect ratio of 1 was selected for evaluating resistor values. Electrical properties of fabricated resistors were measured and their values analyzed in relation to paste composition. PTF fabricated using carbon black as fillers achieved resistor value of
$530{\Omega}/sq$ . -
Colloidal Silica(CS)와 유기수지를 혼합하여 서로의 단점을 보완하는 나노복합재료를 합성하기 위해 친수성인 CS를 유기실란으로 표면처리하여 소수성화하고 유기수지와 복합체를 제조하였다. CS표면의 -OH기와 실란의 -OH기가 축합반응하여 화학결합을 형성함으로서 CS의 표면은 실란의
$CH_3$ 에 의해 소수성화되어 CS sol이 제조된다. CS sol과 유기수지는 공동용매에 의해 균일한 분산이 가능하고 필름 및 코팅제로의 제조가 가능하다. 사용된 무기물은 40nm 의 크기를 가지므로 가시광선영역의 빛을 산란하지 않아 투명하고 사용된 수지 또한 투명하여 우수한 광학적 특성을 나타낸다. 만들어진 필름은 CS의 영향으로 유기수지의 내열성보다 향상된 열분해온도와 높은 접촉각, 높은 절연율을 보였다. -
A common problem in many fields of cryogenic power engineering is to apply high voltage to cold parts of superconducting equipment. In many of these cases a bushing provides electrical insulation for the conductor which makes the transition from ambient temperature to the cold environment. The cryogenic high voltage bushing for the 154kV, 100MVA high temperature superconducting(HTS) transformer is described. The bushing is energized with the line-to-ground voltage between the coaxial center and outer surrounding conductors, in the axial direction there is a temperature difference from ambient to about 77 K. For the insulation design of cryogenic bushing, the arrangement of condenser cone and electrical insulation characteristics of GFRP, Air,
$LN_2$ and$GN_2$ were discussed in this paper. -
To Smoothness of semiconducting materials in power cable, we have investigated those of semiconducting materials showed by changing the content of carbon black and Carbon Nanotube. Then they were produced as sheets after pressing for 20 minutes at
$180^[{\circ}C]$ with a pressure of$200[kg/cm^2]$ . The content of conductive carbon black and Carbon Nanotube was the variable, and their contents were 20-40[wt%] and 2-6[wt%] respectively. The smoothness was measured by JSM-6400. -
We investigated the electrical properties of polymer-carbon composite materials for temperature sensitive resistor applications. Cu/polymer/Cu sheets were fabricated by laminating low density polyethylene(LDPE) containing carbon powders. Weight ratio of carbon powder to LDPE was varied in a range of 0.9~2. With increasing the carbon concentration, the electrical resistance of the composite material was decreased from 0.75 to
$0.08\;{\Omega}cm$ . The composite layer showed a abrupt increase in the electrical resistance at$115^{\circ}C$ because of the softening of the polymer. -
고전압 전기기기의 열화는 여러 가지 요인에 의해 발생한다. 따라서 여러 환경 요인에 영향을 비교적 적게 맡는 절연재료 개발에 의한 절연성능 항상은 그동안 많은 연구자들의 관심의 대상이 되어왔다. 최근 고분자 수지 내에 나노 크기의 충진제를 혼합하여 절연성능 및 기계적, 열적 특성을 향상시키는 연구가 점차 활성화되고 있다. 그러나 나노 크기 입자의 활용은 분자단위 크기의 입자가 가지는 Van der Waals력의 문제로 인하여 전기기기의 절연재료로 활용하기에는 어려운 점이 나타나고 있다. 본 논문에서는 에폭시/
$SiO_2$ 나노복합재료를 제조하여 분산시간에 따른 입자의 문산 상태를 살펴보았다. -
$BaTiO_3$ powder를 epoxy/solvent에 혼합한 슬러리와 solvent에 혼합한 슬러리의 분산 특성을 평가하기 위하여 분산제인 silane을$BaTiO_3$ powder 표면에 코팅한 powder를 이용하여 분산실험을 진행하였다. Silane 표면 코팅 량에 따른$BaTiO_3$ 슬러리와$BaTiO_3$ /epoxy 복합 슬러리의 분산 특성은 서로 다른 경향으로 나타남을 확인하였으며, silanae 최적 첨가량은$BaTiO_3$ /solvent 슬러리의 경우 0.3~0.5 wt%,$BaTiO_3$ /epoxy/solvent 슬러리의 경우 1wt% 이상 첨가한 조건이었다. 또한 분산성 측정의 방법으로 점도 측정 방법과 함께 표면 거칠기 측정 방법의 가능성을 확인하였다. -
The conduction-cooled HTS SMES is operated in cryogenic and high vacuum condition. Thus, Insulation design at cryogenic temperature and high vacuum is a key and an important element that should be established to accomplish miniaturization that is a big advantage of HTS SMES. Therefore, we need active research and development of insulation concerning application of the conduction-cooled HTS SMES. Therefore, in this study, we experimented about insulation characteristic high vacuum and cryogenic similar to driving condition of SMES system. Also, investigated about insulation characteristic of suitable some materials to insulator for conduction-cooled HTS SMES. As this results, we possessed basis data for insulation materials selection and insulation design for development of 600 kJ class conduction-cooled HTS SMES.
-
본 연구에서는 PCB에 적용하기 위한 폴리머 타입 후막저항의 하나로서, 포토공정으로 저항 패턴의 형성이 가능한 페이스트를 제조하였다. 기존의 폴리머 후막저항은 스크린 인쇄를 패터닝의 주요 방법으로 하고 있어 패턴의 정밀성이 떨어지는 단점이 있었다. 이를 개선하여 고정밀 저항 패턴의 형성이 가능하도록 Photoimageable Resin을 저항 페이스트의 개발에 도입하였다. Acrylated oligomer 및 monomer, 그리고 Novolac Epoxy를 주 기지상 재료로서 사용하였으며, acrylate와 epoxy의 함량비에 따른 저항 페이스트의 현상성 및 시트저항을 평가하였다. 전도성 Filler 재료로 카본블랙을 이용하였는데, 그 물리적 특성차와 함량이 저항 페이스트의 현상성과 저항값에 미치는 영향을 평가하였다. 실험결과 Acrylate와 epoxy의 비가 2.5:1일 때 현상성이 가장 양호하였으며, 이 조성에 XC72R 카본블랙을 2g 첨가하였을 때 시트저항의 평균값은 약
$6\;k{\Omega}\{\square}$ 였다. -
We synthesized sol according to kinds(particle size/stabilized ion) of colloidal silica(CS), content ratio of alkoxysilane versus CS and reaction degree in sol solution and studied the surface property of coated gel materials. The contact angle of the thin films prepared from LHSA/N1030 CS/tetramethoxysilane(TMOS)/methyltrimethoxysilane(MTMS) sol-gel reaction system showed a little good relationship with content ratio of TMOS/MTMS silanes. The surface roughness of LHSA CS/TMOS/MTMS reaction system showed flatter than that of LHSA/N1030 CS. The thermal degradation of LHSA CS/TMOS/MTMS coating flim occurred at
$550^{\circ}C$ . -
In recent years the interest in organic/inorganic hybrid materials has increased at a fast rate. Nano organic-inorganic hybrid composites have shown advantages for preparing hard coating layers. Especially, nano hybrid composite has low environmental pollution. It has high transparency, hardness, toughness, thermal dissociation temperature, hydrophobicity by using nano sized inorganic material. There are many ways in which these materials may be synthesized, a typical one being the use of silica and silanes using the sol-gel process. The structure of sol-gel silica evolves as a result of these successive hydrolysis and condensation reactions and the subsequent drying and curing. The sol-gel reactions are catalyzed by acids and produce silica sol solutions. The silica sol grows until they reach a size where a gel transition occurs and a solid-like gel is formed. Colloidal silica(CS)/silane sol solutions were synthesized in variation with parameters such as different acidity and reaction time. In order to understand their physical and chemical properties, sol-gel coating films were fabricated on glass. From all sol-gel solutions, seasoning effect of sol-gel coating layer on glass was observed.
-
In this study, we experimented deterioration characteristics of PVC insulation wires for electrical apparatus by series arcing and analyzed the heat generation at the contacts of wires, glowing/growing process of copper oxide, waveforms of contact voltage, current, power dissipation, and so on. We found out that how glowing contacts and surface arcing can decompose PVC insulation and that subsequent series arc can lead to ignition. We expect that these results are useful for improving fire protection technology by providing a better understanding of how electrical fires can initiate.
-
We have investigated volume resistivity showed by changing the content of Carbon nanotube and carbon black which is the component parts of semiconducting shield in underground power transmission cable. Specimens were made of sheet form with the six of specimens for measurement. Volume resistivity of specimens was measured by volume resistivity meter after 10 minutes in the preheated oven of both
$23{\pm}1[^{\circ}C]$ and$90{\pm}1[^{\circ}C]$ . The volume resistivity decreased by adding Carbon nanotube and carbon black. Also the volume resistivity had different properties because of PTC/NTC tendencies at between$23[^{\circ}C]$ and$90[^{\circ}C]$ . We experimented with electric properties of semiconducting components with fewer Carbon nanotube than carbon black. -
본 논문은 게이트 절연막에 OTS(n-octadecy trichlorosilance) 혼합용액을 이용하여 SAMs(Self-Assembled Monolayers)막을 형성하였다. OTS 혼합용액은 OTS를 0.1w%와 0.5w% 각각을 클로로포름 30w%와 헥산 70w%에 혼합하여 만들었다. 이 혼합용액을 게이트 절연막위에 표면처리하였다. 활성층인 Pentacene이 게이트 절연막 위에 증착될 때, OTS 혼합용액의 비에 따라 누설전류특성을 보았다. OTS를 0.1w% 처리한것이 0.5w%보다 누설전류가 더 작게 나타났다. 결과적으로 OTFT의 게이트 절연막의 절열특성은 향상시키는데 OTS 혼합용액의 비가 큰 영향을 준다.
-
변류기의 제조공법에는 conventional 방식이 있다. Conventional 방식은 부분적으로 불규칙한 경화에 의한 굴곡형태, 단기간에 금형의 손상이 많이 가고, 제한된 생산량으로 금형이 많아야하고 또한 금형의 예열이 필요한 단점을 가지고 있다. 이를 개선한 APG(Automatic Pressure Gelation) 주형방식은 제품의 외관을 매끄럽게 하고, 자동화에 따른 금형수명을 연장하고, 1일 생산량이 conventional 방식보다 8배나 많고 제품의 후경화 설비만 필요한 장점이 있다. 생산성 향상 및 제조원가 절감을 위하여 새로운 제조공법인 APG 방식의 제조공법에 맞는 원재료 및 작업조건을 표준화하고 한국전기연구원이 보유하고 있는 고가장비인 내후성시험기, 성분분석시험기, 충격시험기, 단락시험설비 등을 이용하여 시제품에 대한 평가 시험을 하여 제품의 품질을 향상하고 안정성을 확보함으로써 국내 기업의 기술 경쟁력을 향상하고자 하였다.
-
Thermal properties and mechanical properties of dielectric materials for thermal imprint lithographyIncreasingly complex tasks are performed by computers or cellular phone, requiring more and more memory capacity as well as faster and faster processing speeds. This leads to a constant need to develop more highly integrated circuit systems. Therefore, there have been numerous studies by many engineers investigating circuit patterning. In particular, PCB including module/package substrates such as FCB (Flip Chip Board) has been developed toward being low profile, low power and multi-functionalized due to the demands on miniaturization, increasing functional density of the boards and higher performances of the electric devices. Imprint lithography have received significant attention due to an alternative technology for photolithography on such devices. The imprint technique. is one of promising candidates, especially due to the fact that the expected resolution limits are far beyond the requirements of the PCB industry in the near future. For applying imprint lithography to FCB, it is very important to control thermal properties and mechanical properties of dielectric materials. These properties are very dependent on epoxy resin, curing agent, accelerator, filler and curing degree(%) of dielectric materials. In this work, the epoxy composites filled with silica fillers and cured with various accelerators having various curing degree(%) were prepared. The characterization of the thermal and mechanical properties wasperformed by thermal mechanical analysis (TMA), thermogravimetric analysis (TGA), differential scanning calorimetry (DSC), rheometer, an universal test machine (UTM).
-
As an preliminary study for the quench protection of high temperature superconducting (HTS) cable using superconducting fault current limiter (SFCL), experimental research was carried out. The test circuit was composed of Bi-2223/Ag HTS tape and a SFCL made of YBCO thin films. In the normal state, the applied current of 56 A, which was critical current of HTS tape, could be flown through the circuit without resistive loss. Increasing the currents, the quench development of both materials was investigated from the voltage signal acquired from the resistance of the quenched superconductor. Up to around 10 times of the critical current was applied to the HTS tape and the current limiting characteristics of SFCL were investigated. In addition, for the finding out the optimal operating condition of SFCL such as the numbers of elements, a shunt resistor was applied to the SFCL and quench characteristics were analyzed as well.
-
방향성 규소강판을 tape-wound core 형태로 제작하여
$N_2$ gas 분위기에서$760^{\circ}C$ 4 시간동안 열처리후 자기적 특성을 조사 하였다. 그 결과 1차, 2차 권선수가 85 turns 시료에서 보자력(Hc)과 포화자속밀도(Bs)는 최대값을 나타내었고, 보자력은 0.019Oe, 포화자속밀도는 1.92T 이었다. 현재 국내에서 생산되고 있는 방향성 규소강판의 자속밀도값 보다 더 우수한 값을 나타내었으며, 열화특성이 자기적 특성에 미치는 영향을 통해 고효율 방향성 규소강판 개발의 가능성을 확인하였다. -
Bulk YBCO 초전도체는 top-seeded melt-growth 방법으로 제조되었다. YBCO bulk는 Epoxy resin과
$AgNO_3$ 를 보강해 초전도체의 기계적 강도를 향상하고자 하였다. Epoxy resin은 보강 재료인 STYCAST 2850-FT와 경화제인 CATALYST 24LV 를 100:5 비율로 혼합하여 제조한 후 mould에 넣고$66^{\circ}C$ 에서 2시간 열처리 하였다 (rotary pump로 진공 분위기 조성).$AgNO_3$ 는$350^{\circ}C$ 에서 2시간,$450^{\circ}C$ 에서 1시간 열처리 하여 Ag와$NO_3$ 의 분리 후 YBCO bulk에 Ag가 보강되도록 하였다. Epoxy resin 과 분리된 Ag는 YBCO bluk의 crack과 void에 침투되는 것을 SEM과 광학현미경을 통해 관찰할 수 있었다. Three point bending test를 이용하여 보강 전후의 YBCO bulk의 기계적 강도를 측정하였다. 보강 후의 YBCO bluk의 기계적 강도는 보강 전에 비해 향상된 결과를 확인할 수 있었고, Epoxy resin과$AgNO_3$ 를 보강한 YBCO는 기계적 강도 향상에 높은 신뢰성을 보이고 있다. -
Bi-2212/Ag ROSAT용 와이어의 제작은 PIT법으로 제작된 단일 필라멘트가 사용되었다. 제작된 필라멘트를 압연하여 너비 8.6mm, 두께 2.15mm의 압연 테입을 제조한 후 4개씩 적층하여 3 부분의 마름모로 만들어 다시 내경 17.2mm의 Ag튜브에 적층하여 ROSAT 와이어를 약 150 mm로 제작하였다. 제작된 ROSAT 와이어는 산소분위기에서 약 60시간 동안 열처리 되었다. 이때 열처리 온도와 최고점에서의 유지시간에 따른 Bi-2212/Ag ROSAT 와이어의 전기적 특성을 평가 하였다. 기존의 Bi-2212/Ag 와이어에 비해 압연, 적층, 인발하여 제작된 동일한 크기의 ROSAT 와이어가 향상된 전기적 특성을 나타내었다.
-
As one of methods to increase the voltage rating of the flux-lock type SFCL, the fault current limiting characteristics of the flux-lock type SFCL with HTSC elements connected in series were analyzed. The initial fault current amplitudes in two SFCLs with the series connection of two HTSC elements and with single HTSC element were the same. In addition, the resistance amplitude of each HTSC element in SFCL with the series connection of two HTSC elements had the similar one in SFCL with single HTSC element. With increase of applying voltage, the unbalance of voltage between two HTSC elements in SFCL with the series connection of two HTSC elements disappeared.
-
초미세립 복합상 영구자석은 연 경자성상의 크기와 분포에 큰 영향을 받는 자성재료이다. 또한, 결정립간 교환상호작용력은 초미세립 복합상 영구자석의 잔류자속밀도에 큰 영향을 미친다. 이에
$Nd_8Fe_{86-x}Nb_xB_6$ (x=0, 1, 2, 3) 조성으로 급냉자성 분말을 제조하고, 열처리 후 자기특성을 평가하였다. Nb 첨가에 따른$Nd_2Fe_{14}B$ 상과$\alpha$ -Fe 상과의 교환상호작용력을 Henkel(${\delta}M$ ) plot을 통해 평가하고, 각 상의 크기와 분포를 조사하여 자기특성 변화를 합리화하였다. 본 연구에서 얻은 최대 자기특성은$B_r$ = 9.791 kG,$_iH_c$ = 5.565 kOe, 그리고$(BH)_{max}$ = 14.61 MGOe였고, Nb를 첨가함으로서 보자력이 증가하였다. -
In this paper, we investigated the. characteristics of fault current limiting according to fault angle in the integrated three-phase flux-lock type SFCL in fault types such as the single-line-to-ground fault, the double-line-to-ground fault and the three-line-to-ground fault. When the SFCL is operating under normal condition, the magnetic flux generated between primary and secondary coils of each single phase is canceled out perfectly, so that the impedance of the SFCL is also not generated and the power system can be operated normally without any loss, However, if a fault occurs even in any phase out of three phases, quench happened in SFCL elements and the current flowing secondary coil is restricted abruptly. Finally, the balance of magnetic flux in whole SFCL system is destroyed, and the fault currents in every phase could be limited at the same time irrespective of the fault types. As a result, the developed SFCL in this study were operated normally as expected and the purpose of the integration of 3 phase current limiting was also achieved successfully. However, the fault current limiting characteristics of the SFCL was dependant on the quench characteristics of HTSC elements in each phase, and it was expected that the improvement of the SFCL could be possible through the introduction of HTSC elements which have better critical characteristics.
-
The
$I_c$ degradation behaviors of externally-reinforced Bi-2223 superconducting tapes under pressurized liquid nitrogen were investigated. Tapes with different thickness of reinforcement layers were compared and the results showed that when the bending strain was calculated at the outer surface, the tape with the thicker reinforcement showed a better bending strain tolerance of$I_c$ , but when the bending strain was calculated at the outermost filament, the$I_c$ degradation behavior became identical for all tapes.$I_{c0}$ decreased with the increase of applied pressure. After depressurization to atmospheric pressure from 1 MPa, the$I_c$ was completely recovered to its initial values. Ballooning occurred after a thermal cycle. -
This study was progressed to value of Bi2212 tubes uniformity depend on cooling conditions. The tube from 150 mm in length, 30 mm in O.D., 20 mm in I.D., 5 mm in thickness was combined with electrodes by 3 sections. The tube from 60, 70 mm in length, 30, 50 mm in O.D., 20.4, 40.4 mm in I.D., 4.8 mm in thickness was in controled of cooling rate by a heat exchanger. Bi2212 tubes were fabricated by Centrifugal Forming Process (CFP) and they were annealed at
$840^{\circ}C$ for 80 h in oxygen atmosphere. The tube from 150 mm in length was analyzed by EFDLab of NIKA to show cooling rate and temperature distributions. When the tube was cooled for 100s, the temperature distributions was$663^{\circ}C$ in the middle,$500{\sim}647^{\circ}C$ in inlet,$598{\sim}647^{\circ}C$ in the other side. Electric characteristics from$I_c$ was 450 A in the middle, 650 A in inlet, 600 A in the other side. Electric characteristics by a heat exchanger showed the more fast cooling rate, the more high$I_c$ . -
High temperature superconducting coated conductor has multi-layer structure of protecting layer/superconducting layer/buffer layer/metallic substrate. The buffer layer consists of multi layer, and the architecture most widely used in RABiTS approach is
$CeO_2$ (cap layer)/YSZ(diffusion barrier layer)/$CeO_2$ (seed layer). Multi-buffer layer deposition required many times and process. Therefore single buffer layer deposition study reduce 2G HTS manufacture efforts. Evaporation technique for single buffer deposition method is used for the$CeO_2$ layer.$CeO_2$ single buffer film could be achieved in the chamber. Detailed deposition conditions (temperature and partial gas pressure of deposition) were investigated for the rapid growth of high quality$CeO_2$ single buffer film. -
For practical electrical insulation design of high temperature superconducting (HTS) power apparatuses, knowledge of the dielectric behavior of insulators in cryogenic liquid such as liquid nitrogen (
$LN_2$ ) is essential. So in this paper, we discussed experimental investigations of breakdown and V-t characteristics of several insulators such as Kapton and glass fiber reinforced plastic (GFRP) that are candidates of insulator for HTS apparatus in cryogenic liquid. And we investigated the degradation of these insulation samples after breakdown with the microscope and SEM photograph. Moreover, survival and hazard analysis were performed. -
Superconductor is developed for applications in high-power devices such as power-transmission cables, transformers, motor and generators. In such applications, HTS tapes are subjected to various kinds of stress or strain. In the fabrication of the devices, the critical current (Ic) of the high temperature superconductor degrades due to many reasons including the tension applied by bending, twist and thermal contraction. In particular manufactured HTS cable, we need pitch angle controls. This paper is analyzed that Ic characteristics is changed pitch angle of HTS tape. These results will amount the most important basis data in HTS cable.
-
전력에 큰 손실을 초래하는 고장전류를 차단하기 위한 한류기(FCL) 소재로서 고온 초전도체인 BSCCO 2212가 사용된다. 고온에서 용융된 BSCCO 2212 분말은 원심성형법에 의해 한류기용 튜브로 제조되었다. BSCCO 튜브의 기계적 특성을 높이고 용융온도를 낮추기 위해
$SrSO_4$ (10wt-%)를 첨가하였다. 용탕은$1200^{\circ}C$ 에서 완전히 용융되어 금속 몰드로 주입되었고 원심성형에 사용되는 금속 몰드는$550^{\circ}C$ 온도로 2시간 예열 후 1020 ~ 2520 RPM으로 회전시켰다. 원심력에 의해 성형된 BSCCO 튜브는 약 48시간 동안 로에서 서냉 후 금속 몰드로부터 분리하였다. 튜브의 용이한 분리를 위해 이형제로서 BSCCO 2212 powder를 사용하였고 임계전류측정을 고려하여 Ag tape 단자를 튜브 끝단에 부착하였다. BSCCO 제조 공정에 있어서 몰드의 예열온도, 용융온도, 몰드 회전속도 등의 변수를 조절하여 최적의 조건을 확립하였다. 제조한 BSCCO 튜브의 임계전류($I_c$ )와 임계전류밀도($J_c$ )는 77K에서 536A와$205A/cm^2$ 이었다. 본 연구에서는 BSCCO 2212 튜브를 제조하는 공정조건 변화와 각 조건에서 제조된 BSCCO 2212 튜브의 전기적 특성 및 그에 따른 분석에 대해 기술하였다. -
YBCO 초전도 박막을 제조하기 위해 일반적으로 사용되는 RABiTS공정을 통해 제조된 양축 정렬된 Ni 선재 위에 직접 YBCO를 증착시키려는 시도가 많이 이루어졌다. Ni 위에 직접 증착시킨 YBCO 박막은 c-축으로 정렬되는 온도에서 Ni이 확산되어 YBCO와 반응하여 초전도 물성을 약화시킨다. 이것을 방지하기 위하여 완층층을 먼저 증착을 하는 연구를 시행하였다. 본 연구는 Ni-5at.%W(100) 기판위에 hot-wall type MOCVD (metal organic chemical vapor deposition)를 이용하여 증착을 실시하였다. 완층층으로는 Ni, YBCO와 각각 4.70%, 3.32%의 lattice mismatch를 갖는
$Yb_2O_3$ 를 선택하였으며, 증착 조건으로는 온도$800\;{\sim}\;1000^{\circ}C$ , 시간 3 ~ 10min, 증착압력 10 Torr의 조건에서 증착을 행하였다.$Yb_2O_3$ 를 형성하기 위해 산소를 이용하였으나$Yb_2O_3$ (200) 형성을 방해하는 NiO(111)이 형성되었다. 산소를 대신해 수증기를 이용하여 NiO 상이 없는$Yb_2O_3$ (200)을 형성하였다. 증착 시간과 수증기 압력에 따른$Yb_2O_3$ 의$I_{(200)}/(I_{(111)}+I_{(200)})$ 의 상대 회절강도비를 XRD (X-ray diffraction)를 이용하였고, 증착된 표면 형상은 SEM(scanning electron microscopy)을 통해 관찰하였다. -
이 논문에서는 고온초전도체로 제작된 위성통신용 고온초전도 3dB 커플러에 대하여 보고한다. 커플러 제작에 사용된 초전도체는 MgO 기판위에 증착된 YBCO 고온초전도 박막이었다. 중심 주파수는 408 MHz 대역폭은 위성통신 기지국용 전력 결합기가 안정적으로 동작하도록 15 MHz의 광대역을 설정하였다. 설계를 위해 기존의 분포정수로회로를 ABCD Matrix를 이용해서 집중정수 회로로 전환하였고, 컴퓨터 모의실험을 위해 em Sonnet 상업용 프로그램을 사용하였다. 컴퓨터 모의 실험시 초전도체의 저항은 0으로 하였고, 기타 유전손실은 없는 것으로 가정하였다. 측정결과 우수한 대역폭 특성을 보여주었지만, 대체적으로 12 MHz의 대역폭이 측정되었으며 이는 설계시 모멘트 법 적용에 따른 계산 셀의 정밀도에서 기인하는 것으로 보이며 좀더 세밀한 계산 셀을 사용할 경우, 정확한 계산이 가능할 것으로 판단된다.
-
The structural, dielectric and piezoelectric properties of
$[Bi_{1-x}(Na_{0.7-x}K_{0.2}Li_{0.1})]_{0.5}BaxTiO_3$ (BNKLBxT) ceramics were studied for the compositional range, x = 0-0.08. The samples were prepared by conventional sintering technique. The result of X-ray diffraction (XRD) suggest that$Ba^{2+}$ diffuse into the$[Bi(Na_{0.7}K_{0.2}Li_{0.1})]_{0.5}TiO_3$ (BNKLT) lattices to form a solid solution with a single phase perovskite structure. The ceramic show excellent piezoelectric and ferroelectric properties, and optimum properties measured are as follows: piezoelectric constant$d_{33}=230pC/N$ , planar electromechanical coupling factor$k_p\;=\;40.3%$ , remanent polarization$P_r\;=\;30\;{\mu}C/cm^2$ , and coercive field$E_c\; =\;2.5\;kV/mm$ , respectively. -
LTCC(low temperature co-fired ceramics)용 glass/ceramic 복합체를 제조하기 위해 4 종류의 borosilicate계 glass를 선정하고 filler로
$Al_2O_3$ ceramics를 filler 사용하여 30~50 vol% glass frit에 따른 소결 및 유전 특성에 대하여 조사하였다. Glass frit은$SiO_2$ 와$B_2O_3$ 함량비를 고정한 후 R(CaO, BaO, ZnO,$Bi_2O_3$ )에 따라 유리 연화온도(Ts)와 함량이 소결에 미치는 영향 및 유전 특성 변화를 고찰한 결과, CaO-$B_2O_3-SiO_2$ glass의 경우 다량의 2 차상이 형성되었고, 이에$900^{\circ}C$ 이하에서 완전 소결이 이루어지지 않았으며, BaO-$B_2O_3-SiO_2$ glass는 celsian($BaAl_2Si_2O_8$ ) 결정이 형성되면서 소결성의 저하를 갖고 왔으며, ZnO-$B_2O_3-SiO_2$ glass는 소결이 진행됨에 따라 주상이$Al_2O_3$ 에서 gahnite($ZnAl_2O_4$ ) 결정이 형성되면서 품질계수가 크게 증가하였으며,$Bi_2O_3-B_2O_3-SiO_2$ glass는 45 vol%일 때$900^{\circ}C$ 에서부터 일정한 선수축율 특성을 나타내었지만, 다량의 액상으로 인하여 유전 특성의 저하를 나타내었다. -
A linear ultrasonic motor was designed by a combination of the longitudinal and bending mode. Linear ultrasonic motors are based on an elliptical motion on the surface of elastic body, such as bar or plates. The corresponding eigen-mode of one resonance frequency can be excited twice at the same time with a phase shift of 90 degrees in space and time. That is excite symmetric and anti-symmetric modes. Then it determines the thrust and speed of the motor. Linear ultrasonic motors are investigated experimentally in according to be fabricated a general classification to motor structure and material characteristic. There was the first to simulate as use of finite element analysis ANSYS 9.0. The AL-T2W8-ARM14-LEG18-ANGLE80 motor has a maxim efficiency 17 [%] under the speed 0.14 [m/s], thrust 345 [gf] and preload 280 [gf], operating frequency is 57.6 [kHz].
-
1mm-thick BLT ceramics were sintered in accordance with a bulk ceramic fabrication process. AII XRD peaks detected in the sintered ceramics were indexed as the Bi-layered perovskite structure without secondary phases. Density was increased with increasing the sintering temperature up to
$1050^{\circ}C$ and the maximum value was about 98% of the theoretical density. The remanent polarization (2Pr) value of BLT ceramic sintered at$1050^{\circ}C$ was approximately$6.5\;{\mu}C/cm^2$ at the applied voltage of 4.5 kV. The calculated electromechanical coupling factor ($k_t$ ) of it was about 5% and the mechanical quality factor (Qm) was about 2200. From these results, a BLT ceramic target for pulsed laser deposition (PLD) system was successfully fabricated. -
저온동시소성 다층세라믹스 시트 제조시 바인더 함량과 압력 변화에 따른 적층체의 그린밀도, 적층밀도, 바인더 burn out 후 그린밀도, 소결밀도를 고찰하였다. 바인더 함량이 증가함에 따라 slurrly의 유변학적 변화는 그린시트의 유동성 및 충진율을 변화시켜 그린시트의 밀도에 영향을 주었다. 적층 압력을 5~50MPa로 변화시켰을 때 바인더 함량이 12%로 가장 많은 시트의 경우 적층 후 시트의 밀도는 상대적으로 높은 밀도값을 나타내었으나, 바인더 burn out 후에는 상대적으로 낮은 밀도값을 나타내었다. 바인더 함량 변화에 따른 소결 밀도값은 큰 차이를 보이지 않았다.
-
Cordierite 결정상을 LTCC공정 적용온도에서 소결하기 위한 glass 조성을 조사하였다. 상용의 glass중 Pb-B-Si-O계, Na-Zn-B-O계 glass를 선택하였고 LTCC용 기판소재로서의 가능성을 확인하기 위하여 저온 동시소성이 가능한 소결온도인
$850^{\circ}C$ 와$1000^{\circ}C$ 사이에서 소재의 소결실험을 진행하였다. 소결조건에 따른 상변화, 유전특성을 확인한 결과 glass상, 결정상, 용융에 의한 glass상으로 상의 변화가 있음을 확인 할 수 있었으며, LTCC 소결 조건에서 Pb-B-Si-O계 glass의 경우 2.9~3.7의 낮은 유전율과 0.0027의 우수한 dielectric loss, 내전압 특성을 가지고 있음을 확인하였다. -
본 연구에서는 분말입자의 미립화에 따른
$(K,Na)NbO_3$ (KNN) 세라믹스의 소결밀도와 압전특성을 평가하였다. 먼저 입자를 미립화 시키기 위해 planetary milling machine을 이용하였으며, 소결밀도 및 압전 특성을 측정하였다. Ball milling을 24~72시간동안 한 결과 particle size는 730~490nm 정도였다. Milling 시간이 증가할수록 입자크기는 감소하였고, 소결밀도는 particle size가 작을수록 증가하였고,$4.50g/cm^3$ 으로 가장 높은 밀도를 나타냈다. 또한 소결 밀도가 증가함에 따라 기계적 품질 계수(Qm) 역시 증가한 반면, particle size가 작아짐에 따라 전기기계 결합계수(Kp)는 감소하는 경황을 보였다. -
In this study, multilayer structured ultrasonic linear motor was designed and simulated using ANSYS of finite element method simulator for investigating the optimum conditions of it. The ultrasonic linear motor studied in this paper designed using the 1st longitudinal(
$L_1$ ) and 4th bending vibration($B_4$ ). The driving voltage of the motor was very low as$V_1=5\sqrt{2}sinwt$ and$V_2=5\sqrt{2}coswt$ . With the increase of the number of piezoelectric ceramic layers, displacement of node was increased. Maximum z displacement of node was about$12{\mu}m$ at the 18 layered ultrasonic motor. -
Barium titanate (
$BaTiO_3$ ) glycolate particles were synthesized at temperature as low as$100^{\circ}C$ through glycothermal reaction by using$Ba(OH)_2{\cdot}8H_2O$ and amorphous titanium hydrous gel as precursors and ethylene glycol as solvent. The particle size and morphology of$BaTiO_3$ glycolate powders can be controlled by varying the reaction conditions such as the reaction temperature and Ba:Ti molar ratio of starting precursors. After glycothermal treatment at$220^{\circ}C$ for 24 h in 1.25:1(Ba:Ti), the average particle size of the$BaTiO_3$ glycolate powder was about 200-400 nm and low agglomeration.$BaTiO_3$ powders were formed by heat-treating the glycolate powder in air at$500-1000^{\circ}C$ . As a result, the size of$BaTiO_3$ crystallites changed from around 50-300 nm. It is also demonstrated that the size and shape of$BaTiO_3$ particles investigated as a function of calcination temperature. The$BaTiO_3$ particles obtained from optimum synthesis condition were pressed, sintered and measured for the dielectric property. The$BaTiO_3$ ceramics sintered at$1250^{\circ}C$ for 2 h had 98 % of theoretical density. The ceramics have an average grain size of about$1\;{\mu}m$ and displays the high dielectric constant (~3100) and low dielectric loss (<0.1) at room temperature. -
We studied the effect of sol-gel processing and sintering temperature on the microwave properties of
$MgCo_2(VO_4)_2$ system(MCV) which is applicable to LTCC(low-temperature cofired ceramics). The MCV was synthesized by sol-gel process using solution that contains precursor molecules for Mg, Co, and V. SEM analysis shows that the average particle size is${\sim}1{\mu}m$ and size distribution is very uniform compared to the one prepared by conventional solid-state reaction process. Highly dense samples were obtained at the sintering temperature range of$750^{\circ}C{\sim}930^{\circ}C$ . The maximum$Q{\times}f_0$ value of 55,700GHz, dielectric constant(${\varepsilon}_r$ ) of 10.41 and temperature coefficient(${\tau}_f$ ) of$-85ppm/^{\circ}C$ was obtained at the sintering temperature of$930^{\circ}C$ . The superior microwave properties of sol-gel processed MCV relative to conventional solid-state reaction processed one is remarkable especially at lower sintering temperatures such as$750^{\circ}C$ and$800^{\circ}C$ . -
The effect of praseodymium substitution on the ferroelectric properties of
$Bi_4Ti_3O_{12}$ thin films have been investigated. Ferroelectric Pr-substituted$Bi_4Ti_3O_{12}$ thin films were fabricated by chemical solution deposition onto Pt/Ti/$SiO_2$ /Si substrates. The structure and morphology of the films were analyzed using Xray diffraction, and scanning electron microscopy, respectively. About 200-nm-thick BPT films grown at$720^{\circ}C$ exhibited a polycrystalline structure and showed excellent ferroelectric properties with a remanent polarization ($2P_r$ ) of$28.21\;{\mu}C/cm^2$ at an applied voltage of 5 V. The films a1so demonstrate fatigue-free behavior up to$10^{11}$ read/write switching cycles with 1 MHz bipolar pulses at an electric field of${\pm}5\;V$ . -
$ZnNb_2O_6$ ceramics were sintered under the presence of zinc-borosilicate(ZBS) glass and resultant microwave dielectric properties were investigated with a view to applying the composition to LTCC technology. The addition of 10~30 wt% ZBS glass ensured successful sintering below$900^{\circ}C$ . In general, increased addition of ZBS glass increased sinterability but it decreased the dielectric properties significantly due to the formation of an excessive liquid and second phases. The sintered$ZnNb_2O_6$ ceramics at$900^{\circ}C$ with 25 wt% ZBS glass demonstrated 15.8 in dielectric constant(${\varepsilon}_r$ ), 5,400 in quality factor($Q{\times}f_0$ ), and$-98\;ppm/^{\circ}C$ in temperature coefficient of resonant frequency(${\tau}_f$ ). -
Varistor fabricated with ZnO nano-powder was studied about the characteristic of energy capability in this paper. ZnO nano-powder varistor were sintered in air at
$1050\;^{\circ}C$ . The electrical properties and residual voltage of ZnO nano-powder varistor were obtained. Our ZnO nano-powder varistor has about 3 times of electric field at varistor voltage as compared with commercial ZnO varistor fabricated with micro-powder. In the current impulse withstand test, our nano varistor has had better performance than micro varistor. To analysis energy capability take infrared images for pyrexia distribution of each varistor. ZnO Nano-powder varistor has shown much quick response property because of increasing effective cross-section. -
A conventional oxide method was used to fabricate
$Ba_{0.5}Sr_{0.5}TiO_3$ (BST) ceramic plates doped by MgO from 10 to 60 wt%. The structural and dielectric properties of BST were investigated as a fraction of MgO dopant concentration. The dielectric properties of the MgO doped BST were strongly dependent on the MgO contents. The dielectric constant and dielectric loss of MgO doped BST decreased with increasing MgO content. -
공통의 glass를 이용한 LTCC 이종소재의 무수축 접합 가능성을 확인하기 위하여 2종의 상용 glass를 이용하여 소결온도를 변화시키면서 미세구조 및 결정구조, x-y축 방향의 수축율을 관찰하였다. 실험 결과 GA-12 glass는 강유전체
$BaTiO_3$ 와 glass의 composite개념을 이용한 접합에 적절하지 않은 것으로 판단되었으며, GA-1 glass의 경우는$750^{\circ}C$ 와$800^{\circ}C$ 사이에서 glass의 충분한 침투조건이 확인되며, 결정구조 에서도 glass/$BaTiO_3$ composite이 형성되므로 layer 간의 delamination은 발견되지만 x-y축 방향의 무수축 접합의 가능성이 확인되었다. -
일반적으로 PZT계 세라믹스는 높은 결정격자 이방성을 가지고 있으며, 우수한 압전특성을 가지고 있다. 이러한 특성을 보이기 위해서는 높은 온도(
$1200^{\circ}C$ )에서 소결을 해야하기 때문에 PbO의 휘발로 인하여 환경문제가 야기되고 있다. 이러한 문제를 해결하기 위해서 Li, Na같은 액상소결제를 첨가시켜 소결온도를 낮추는 연구를 하고 있다. 본 연구에서는$Pb(Mn_{1/3}Nb_{2/3})O_3$ -PZT세라믹스가 갖는 높은 결정격자 이방성을 유지시키고, 저온소결시 압전 및 유전특성을 향상시키기 위해서$Pb(Mg_{1/2}W_{1/2})O_3$ 를 치환시켜 attrition milling 시간에 따른 PWM-PMN-PZT세라믹스의 압전특성을 조사하였다. -
In this study, multilayer piezoelectric transformer were manufactured using the PZN-PMN-PZT ceramic and then the electrical characteristics were investigated. The voltage step-up ratio of multilayer piezoelectric transformer showed the maximum value in the vicinity of 78.16kHz and increased according to the increase of load resistance. When the output impedance coincided with the load resistance, piezoelectric transformer showed the temperature increase of about
$20^{\circ}C$ at the output power of 12W. The results, the multilayer transformer manufactured using the low temperature sintered PZN-PMN-PZT ceramics can be stably driven for step-up transformers. -
기계적 에너지를 전지적 에너지로 변환하는 에너지 변환소자인 압전 세라믹스는 액츄에이터, 변압기, 초음파모터, 초음파 소자 및 각종 센서로 응용되고 있으며, 그 응용분야는 크게 종가하고 있다. 최근 이러한 에너지변환 소자를 앞으로 도래하는 유비쿼터스 무선 모바일 시대의 휴대용 전자제품, 즉 Wearable 컴퓨터, MP3, GSM, Bluetooth 등의 정보통신기기, Robotics, 항공우주, 자동차, 의료, 건축, MEMS 분야 등의 대체 에너지원으로 응용하기 위한 연구가 진행되고 있다. 특히 인간의 동작등과 같은 일상적인 동작(Typing, U. limbbing, Breathing, Walking 등)으로 필요한 전력을 얻을 수 있고, 세라믹 소자를 이용하기 때문에 전자노이즈가 발생되지 않을 뿐 아니라 반영구적으로 사용할 수가 있어서, 기존 이차전지, 연료전지를 대체 또는 보완 할 수 있는 방안도 검토되고 있다. 따라서 본 연구에서는 향후 응용 가능성이 큰 압전 발전 시스템을 제작하기 위해서 압전특성이 우수한 조성시스템 설계, 바이몰프 형태의 압전액츄에이터 제작, 그리고 발전시스템의 회로설계를 수행하였다.
-
자동차의 난방 열원은 HVAC(Heating, Ventilating & Air Conditioning)에 내장되어 있는 히터코어 (Heater Core) 에서 공급하게 되며, 이 히터코어는 엔진에서 가열된 냉각수 열원을 이용하게 된다. 그러나 최근 디젤 엔진의 경우 연소효율의 개선과 CEGR(Cooled Exhaust Gas Recirculation) 시스템의 적용으로 냉각부하가 증가하여 냉각수가 가지는 가용 열원이 기종보다 약 30~40% 정도 저하되고 있다. 따라서 디젤 자동차 및 하이브리드용 자동차의 난방 보조 히터의 국산화 개발이 시급해진 상황이며 초정밀, 고효율 보조 히터의 개발이 요구되고 있다. 현재 적용되고 있는 보조 히터 중에서 PTC 히터는 PTC 소자의 발열을 이용하여 공기를 직접 가열하기 때문에 추가적인 연료소비가 없고 소형 및 저가라는 장점이 있다. PTC 세라믹 소자는
$BaTiO_3$ 를 모체로 하며, 이의 특성 항상 및 제어를 위해서는 적절한 dopant를 선택하여 균일하게 doping 해야 한다. 지금까지 dopant에 따른 구성 요소 및 역할은 비교적 잘 알려져 있다. 하지만, 자동차용으로 사용되기 위해서는 12V의 저전압에서 동작해야 하며, 또한 소자의 두께가 얇아지게 됨에 따라서 발생하는 전기적 short와 같은 문제점들을 해결하여야 한다. 따라서 본 연구에서는 PTC 세라믹 소자에서 도펀트 종류와 양 조절을 통한 저저항을 확보하고, PTC 세라믹 소자의 박막화를 달성하고자 하였다. -
In this paper, we propose an ultra wideband pass filter of ring type with embedded stripline stub. The fractional bandwidth was 98 % at the center frequency of 8 GHz and insertion loss was below 1 dB in passband. Two kinds of dielectric materials with the permittivity of 7.8 and 40, respectively were adopted in evaluating the suggested filter structure. As the permittivity of material became larger, the size of filter smaller as expected without any sacrifice in filter performance. In summary, the suggested filter structure has smaller size due to the embedded stripline stub and can be minimized by adopting dielectric material with higher permittivity again.
-
In this paper, frequency characteristic of FBAR was studied as a function of annealing temperature. we have used Li dopant to enhance electrical properties of ZnO thin film. Li:ZnO thin film was deposited on Al(300 nm)/
$SiO_2$ (500 nm)/Si($500\;{\mu}m$ ) and each layer was patterned. Thermal treatment was executed in range of between 300 and$600^{\circ}C$ in$O_2$ ambient. We observed that the resistivity of ZnO is enhanced under the influence of Li doping and return loss in FBAR frequency properties is improved through annealing. -
The dielectric Properties of add
$Li_2CO_3$ to ($Ba_{0.6}Sr_{0.4})TiO_3$ powder in this research, made thick film by tape casting method and annealing at$970^{\circ}C$ for 2 hours each from the$O_2$ , Ar and O2-plasma atmosphere were investigated. The dielectric Properties of Ar atmosphere was to higher with tunability. The dielectric constant was increased and Curie temperature was shifted to higher temperature with increasing of annealing temperature. -
$BiNbO_4$ ceramics were sintered under the presence of zinc-borosilicate(ZBS) glass and resultant microwave dielectric properties were investigated with a view to applying the composition to LTCC technology. The addition of 5~20 wt% ZBS glass ensured successful sintering below$900^{\circ}C$ . In general, increased addition of ZBS glass increased sinterability and temperature coefficient of resonant frequency(${\tau}_f$ ), but it decreased the dielectric constant(${\varepsilon}_r$ ) and quality factor($Q{\times}f_0$ ) significantly due to the formation of an excessive liquid. The sintered$BiNbO_4$ ceramics at$900^{\circ}C$ with 15 wt% ZBS glass demonstrated 25 in dielectric constant(${\varepsilon}_r$ ), 3,700 in quality factor($Q{\times}f_0$ ), and -32$ppm/{\circ}C$ in temperature coefficient of resonant frequency(${\tau}_f$ ). -
Dense
$0.95(Na_{0.5}K_{0.5})NbO_3-0.05LiTaO_3$ (NKN-LT) ceramics were developed by conventional sintering process. Sintering temperature was lowered by adding$Na_2O$ as a sintering aid. The electrical properties of NKN-LT ceramics were investigated as a function of$Na_2O$ concentration. When the sample sintered at$1000^{\circ}C$ for 4h with the addition of 1 mol%$Na_2O$ , electromechanical coupling factor ($k_p$ ) and piezoelectric coefficient ($d_{33}$ ) of NKN-LT ceramics were found to reach the highest values of 0.43 and 190 pC/N, respectively. -
일반적인 CMOS공정으로는 높은 주파수 대역에서 높은 Q factor를 갖는 인덕터를 구현하는데 어렵고 이에 반해 RF ICs는 갈수록 high Q 를 가지는 인덕터가 요구되고 있다. 이를 LTCC 기판 위에 인덕터를 구현했을 때 높은 주파수 대역에서 성능을 알아보기 위해 모의 실험하였다. 인덕터를 설계하는데 있어서 인덕터 코일의 폭, 코일의 두께와 간격이 인덕터의 성능을 결정짓는다는 것을 고려하였고, MEMS 공정을 이용하여 high Q를 갖는 인덕터를 설계하였다. 인덕터의 전체 크기는
$330{\mu}m\;{\times}\;330{\mu}m$ 에서 선폭은$30{\mu}m$ , 선간의 간격은$20{\mu}m$ 로 기판위에$80{\mu}m$ 높이로 인덕터를 띄어서 설계하였고, 그리고 이를 LTCC 기판위에 high Q 의 인덕터 구현을 위해 simulation 한 결과가 Q값이 50 정도의 크기를 나타냈다. -
In order to improve the ESD(Electrical Static Discharge) resistance of multilayer chip varistors, we have investigated ZnO-
$Pr_6O_{11}$ based chip varistor by applying tape casting technology, whose fundamental component were ZnO :$Pr_6O_{11}$ :$Co_3O_4$ :$Y_2O_3$ :$Al_2O_3$ =93.67: 2.53:2.53:1.25 : 0.015 (wt %). The effect of sintering condition on the multilayer chip varistors and electric properties was studied. The electrical properties and ESD resistance of multilayer chip varistor could be influenced the sintering temperature and condition. -
The electrical properties of PZT thin film capacitor on TiN/W plug structure were investigated for high density ferroelectric memory devices. In order to enhance the ferroelectric properties of PZT capacitor, the process conditions of bottom electrodes were optimized. The fabricated PZT capacitor on TiN/W plug showed good remanent polarization, leakage current, and contact resistance of TiN/W plug, which were
$33\;{\mu}C/cm^2$ ,$1.2{\times}10^{-6}\;A/cm^2$ , and 5.3 ohm/contact, respectively. -
저온동시 소결용 세라믹스, LTCC를 사용한 RF/MW용 고유전율 세라믹을 개발하기 위하여 300이상의 고유전율과 낮은 손실 계수를 가지는 것으로 알려진
$Ag(Nb_{1/4}Ta_{3/4})O_3$ 고용체와$CaTiO_3$ ,$TiO_2$ 를 각각 혼합하여 공진주파수의 온도 계수가 0에 가까운 안정된 유전체 특성을 얻고자 하였다. 유전율의 온도 안정성을 도모하기 위해 음의 온도 계수를 갖는$CaTiO_3$ ,$TiO_2$ 와 양의 온도계수를 갖는$CaTiO_3$ 및$TiO_2$ 를 일정 분율로 혼합한 복합체 구조의 시편을 제작하였다. LTCC 소자로의 적용을 위해 3wt.%의 CuO를 첨가하여 소결 온도를 낮추었으며, 소결 시편의 상 분석, 미세구조 및 전기적 특성을 조사하였다. -
In this study, in order to develop piezoelectric device for multilayer piezoelectric ultrasonic motor, low temperature sintering
$Pb(Mn_{1/3}Nb_{2/3})_{0.02}(Ni_{1/3}Nb_{2/3})_{0.12}(Zr_{0.48}Ti_{0.52})_{0.86}O_3$ system ceramics were fabricated according to the variations of forming pressure of casting sheet. At the 300[$kgf/cm^2$ ] forming pressure, the maximum density of 7.8[$g/cm^3$ ] was obtained. At the 350[$kgf/cm^2$ ] forming pressure, the maximum values of effective electromechanical coupling factor$k_{cff}\;=\;0.24$ and mechanical quality factor Qm=628 were obtained. -
본 연구에서는 0-3 타입의 압전 세라믹 - 고분자 복합소재를 제조하기 위해서
$Pb(Zr_{0.54}Ti_{0.48})O_3$ + 0.2 wt%$Cr_2O_3$ + 1 wt%$Nb_2O_5$ 조성을 기본 조성으로 하여, 세라믹-고분자 첨가량에 따른 복합소재의 전기적 특성과 여러 분극조건, 즉 분극온도, 분극시간, 분극전압 변화에 의한 압전 특성을 고찰하였다. 세라믹 첨가비율이 증가함에 따라 유전상수와 압전상수($d_{33}$ )는 증가하였으며, 전압상수($g_{33}$ )는 급격히 감소하는 경향을 보였으며, 분극시간과 분극전압도 전기적 특성에 영향을 주는 것을 확인하였다. 분극전압 5KV 인가한 고분자 15% 첨가한 복합 소재에서 유전상수 13, 압전상수$d_{33}$ 23(${\ast}10^{-12}C/N$ ), 전압상수$g_{33}$ 170($10^{-3}v.m/N$ )의 우수한 특성을 나타내었다. -
본연구에서는 초음파 모터 등의 고출력 액츄에이터에 응용 가능한
$0.4Pb(Ni_{1/3}Nb_{2/3})O_3$ -$0.6Pb(Zr_{0.405}Ti_{0.595})O_3$ + 0.25wt%$Fe_2O_3$ 조성시스템에$MnO_2$ (0~1 wt.%)첨가하여 압전, 유전특성 및 미세구조에 관해 고찰하였다. 본조성을$1125{\sim}1175^{\circ}C$ 온도에서 2시간 소결하여 시편을 제조하였으며 이의 결정구조 및 미세조직을 분석하였다.$MnO_2$ 첨가량 증가에 따라 압전 상수와 전기기계결함계수는 감소하였으며, 기계적 품질계수는 0.5 wt% 첨가시까지 증가하였으나 첨가량이 그 이상 증가함에 따라 감소하였다. 압전특성은$1125^{\circ}C$ 에서 소결한$MnO_2$ 0.5wt%조성에서${\varepsilon}r$ = 2040, kp = 0.66,$d_{33}$ = 504, Qm=438의 우수한 특성을 나타내었다. -
Ag-ceramic composite materials were investigated as internal electrodes for multilayer ceramic actuators (MLCA). Ag-ceramic pastes were prepared by adding PZT-based ceramic powders to a Ag patse in a range of 0 to 50 wt.%. PZT/Ag-PZT multilayered laminates were fabricated by tape casting and fired at low temperatures below
$950^{\circ}C$ . The addition of ceramic into the Ag electrode resulted in a decrease in the thermal expansion mismatch between the electrode and the ceramic sheet. The maximum strain of PZT/Ag-PZT multilayered actuators were$9{\times}10^{-4}$ under an electric field of 2.5MV/m. In conclusion, Ag-PZT composite materials are efficient for low cost piezoelectric MLCAs. -
The objective of this study is to fabricate a piezoelectric composite consisting of a piezoelectric ceramic and a high toughness material and to evaluate their electromechanical properties for high force actuator applications. The mixture of the piezoelectric material, PMNZT, and high toughness material,
$ZrO_2$ , exhibited high piezoelectric properties as well as good mechanical fracture resistance. Up to 2 vol% of$ZrO_2$ in PMNZT matrix, piezoelectric$d_{33}$ coefficient was above 400 pC/N, being 80% of that for the original PMNZT, and the toughness showed twice of the PMNZT. When the volume fraction of the$ZrO_2$ was above 5%, however, the piezoelectric coefficient became abruptly decreased and it approached 20% of value for the PMNZT. -
Phuong, Nguyen Mai;Cuong, Nguyen Duy;Kim, Dong-Jin;Kang, Byoung-Don;Kim, Chang-Soo;Yoon, Soon-Gil 337
The 200 nm thick-NiCr films grew on$SiO_2$ /Si substrates at various deposition temperatures by a dc magnetron co-sputtering technique were characterized for the variation of film texture. The resistivity of the films decreases with increasing deposition temperature and temperature coefficient of electrical resistance (TCR) varies from negative value to a positive one with increasing deposition temperature. The NiCr films deposited at$300^{\circ}C$ exhibit 4 ppm/K being near zero TCR, resulting in TCR suitable for$\pi$ -type attenuator applications. -
In this paper, disk-type ultrasonic motor using radial and bending vibration modes is newly designed and fabricated to measure its characteristics. As the diameter of elastic body increases, the resonant frequency decreases and its resonant frequency is about 92kHz when the physical dimensions of piezoelectric ceramic and elastic body are 28mm of diameter and 2mm of thickness, and 32mm of diameter and 2mm of thickness, respectively. When the applied voltage is 20Vpp. its speed and torque are 200rpm and 1N, respectively.
-
Gadolinium-substituted bismuth titanate,
$Bi_{3.3}Gd_{0.7}Ti_3O_{12}$ (BGT), thin films were successfully fabricated on Pt(111)/Ti/$SiO_2$ /Si substrates by a sol-gel method and their structural and ferroelectric properties have been characterized. Fabricated BGT thin films were found to be random orientations, which were confirmed by X-ray diffraction experiment and scanning electron microscope analysis. The remanent polarization ($2P_r$ )) of BGT thin film annealed at$720^{\circ}C$ was$25.85\;{\mu}C/cm^2$ at an applied voltage of 5 V. The BGT thin films exhibited a 11 % reduction in their switching charge after no less than$10^{11}$ switching cycles at a frequency of 1 MHz. -
집적회로기판의 소형화 추세에 따라 커패시터, 인덕터, 저항과 같은 수동소자를 PCB 기판내부에 임베딩하는 연구가 국내외에서 활발하게 진행되고 있다. 본 논문에서는 polymer-metal-ceramic의 3상 복합체 구조를 가지는 임베디드 커패시터에서 Bimodal PZT분말에 따른 유전 특성에 대하여 고찰하였다. 매트릭스를 형성하는 고분자 재료로는 PMMA(polymethyl methacrylate)를 사용하였으며, 충분히 혼합된 분말을 고온에서 프레싱하여 시편을 제조하였다. 유전특성은 임피던스분석기 및 LCZ 미터를 이용하여 측정하였으며, 실험결과는 혼합법칙과 Percolation 이론을 이용하여 해석하였다.
-
In this study, in order to develop low temperature sintering piezoelectric transformer,
$(Pb_{0.99-x}Ca_xSr_{0.01})Ti_{0.96}(Mn_{1/3}Sb_{2/3})_{0.04}O_3$ ceramic systems were fabricated using$Na_2CO_3-Li_2CO_3$ as sintering aids and investigated with the amount of Ca substitution. The piezoelectric transformer requires high electromechanical coupling factor$k_t$ and high mechanical quality factor$Q_{mt}$ for generating high output power At the ($PbCaSr)Ti(MnSb)O_3$ ceramics with 24mol% Ca substitution sintered at$900^{\circ}C$ , electromechanical coupling factor$k_t$ and mechanical quality factor$Q_{mt}$ showed the optimal values of 0.504 and 1655 respectively, for thickness vibration mode multilayer piezoelectric transformer application. -
기계적 품질계수(Qm)를 향상시키기 위해
$Y_2O_3$ 와$MnO_2$ 를 첨가함에 따른$SrBi_2Nb_2O_9$ 세라믹스의 강유전 특성을 알아보았다. 합성분말의 입도를 분석 한 결과$SrBi_2Nb_2O_9$ 의 경우 781.27nm였고,$Y_2O_3$ 와$MnO_2$ 를 첨가한 경우 각 각 830.4nm와 981.1nm로 particle size는 증가하였고, 소결 후 소결밀도는 차이가 거의 없었으며, grain size는$SrBi_2Nb_2O_9$ 과$Y_2O_3$ 를 첨가했을 경우$1{\mu}m$ 이하이며 반면,$MnO_2$ 를 첨가하였을 때 결정립이 성장하여$3{\sim}4{\mu}m$ 로 나타났다. 또한, 모두가$450^{\circ}C$ 이상의 상전이온도를 갖았다. -
$LiNi_{1/3}Mn_{1/3}Co_{1/3}O_2$ cathode material was synthesized by a mixed hydroxide methode. The surface of the$LiNi_{1/3}Mn_{1/3}Co_{1/3}O_2$ was coated with a carbon by using a sol-gel method to improve further its electrochemical properties. Electrochemical studies were performed by assembling 2032 coin cells with lithium metal as an anode. OSC (differential scanning calorimetry) data showed that exothermic reactions of charged to 4.3V vs. Li was suppressed in the carbon-coated materials. The carbon-coated$LiNi_{1/3}Mn_{1/3}Co_{1/3}O_2$ showed the improved rate capability and thermal stability. -
Thick film mechanical sensors can be categorized into four main areas piezoresistive, piezoelectric, capacitive and mechanic tube. In this areas, the thick film strain gage is the earliest example of a primary sensing element based on the substrates. The latest thick film sensor is used various pastes that have been specifically developed for pressure sensor application. Some elastic materials exhibit a change in bulk resistivity when they are subjected to displacement by an applied pressure. This property is referred to as piezoresistivity and is a major factor influencing the sensitivity of a piezoresistive strain gage. The effect of thick film resistors was first noticed in the early 1970, as described by Holmes in his paper in 1973.
-
가스센서용 마이크로 히터 제작에는 표연 마이크로 머시닝 또는 벌크 마이크로머시닝 기술을 이용한다. 표면 마이크로 머시닝에 의한 마이크로 히터 (MHP) 구조의 경우, 기판과 박막간의 폭이 좁기 때문에 에칭 공정 후 세정이 잘 이루어지지 않으면 열적 절연이 잘 이루어지지 않아서 히터와 센서의 성능을 저하시키는 원인이 된다. 본 연구에서는 표면 마이크로 머시닝 기술에 의한 가스 센서용 마이크로 히터를 제작한다.
$SiO_2$ 와$Si_3N_4$ 를 성분으로 하며,$100{\mu}m\;{\times}\;100{\mu}m$ 의 면적과 350 nm 의 두께를 갖는 가스 센서용 마이크로 히터를 제작하였다. 이를 위하여 ANSYS를 통한 유한요소해석에 의한 열분포 해석으로 최적구조를 확인하였다. 센서로의 열 전달 효율을 높이기 위해 센서 박막은 히터 위에 적층하였다. 실리콘 표면과 마이크로 히터와의 간격은 에칭 공정을 통하여$2{\mu}m$ 로 하였으며, 이 공간에서는 에칭 및 세정 후에 이물질이 깨끗이 세정되지 않고 남아 있거나, 습식 공정 중에 수분의 장력에 의한 열전연성이 나빠질 수 있는 등 단점이 있다. 이는 건식 등방성 에칭 공정을 통하여 해결하였다. -
We manufactured photoelectrode of dye-sensitized solar cells (DSC) by using three methods such as squeeze method, spray method, and combination method (squeeze method first, spray method second). We examined how the morphology of an electrode's surface, the pore between particles, and condensation have an effect on an open-circuit voltage, photocurrent, fill factor, and energy conversion efficiency. Open-circuit voltage of dye-sensitized solar cells manufactured by using three methods is about 0.66V when the photoelectrode of the three DSCs is about
$5{\mu}m$ thick. Photocurrent and fill factor and conversion efficiency of DSC manufactured by using squeeze method is 18.5 and 34 and 7.8, respectively. Photocurrent and fill factor and conversion efficiency of DSC manufactured by using spray method is 3.62 and 62 and 2.8, respectively. Photocurrent and fill factor and conversion efficiency of DSC manufactured by using combination method is 10.7 and 46 and 5.9, respectively. In conclusion, we find that the combination method is better than the other two methods in such respects as energy conversion efficiency and fill factor. -
Tin oxide thin films have been prepared on display glass from mixtures of dibutyl tin diacetate as a tin source, oxygen as an oxidant by Plasma Enhanced Chemical Vapor Deposition (PECVD) method. The relationships between the properties of tin oxide thin films and various reaction parameters such as the deposition temperature, deposition time and the oxygen gas flow rate were studied. As the deposition temperature increased, the texture plane of
$SnO_2$ changed from (200) plane to denser (211) and (110) planes. Lower deposition temperature and thinner thickness of deposited film led to decreasing grain size, surface roughness and electrical resistivity of the formed thin films at$325{\sim}425^{\circ}C$ . The properties of fabricated$SnO_2$ films are highly changed with variations of substrate temperature and deposition time. -
In the Langmuir-Blodgett (LB) technique, a monolayer on the water surface is transferred onto a substrate, which is raised and dipped through the surface. From this, multilayers can be obtained in which constituent molecules are periodically arranged. The LB technique has attracted considerable interest in the fabrication of electrical and electronic devices. Many researchers have investigated the electrical properties of monolayer and multiplayer films. Dendrimers represent a new class of synthetic macromolecules characterized by a regularly branched treelike structure. Multiple branching yields a large number of chain ends that distinguish dendrimers from conventional star-like polymers and microgels. The azobenzene dendrimer is one of the dendritic macromolecules that include the azo-group exhibiting a photochromic character. Due to the presence of the charge transfer element of the azo-group and its rod-shaped structure, these compounds are expected to have potential interest in electronics and ptoelectronics, especially in nonlinear optics. In the present paper, we give pressure stimulation to organic thin films and detect the induced displacement current.
-
This paper describes a wire and wireless network sensor for temperature and humidity measurements. The network sensor comprises PLC(Power Line Communication) and RF transmitter(433MHz) for acquiring an internal (on-board) sensor signal, and measured data is transmitted to a main processing unit. The network sensor module is consist of MEMS sensor, 10-bit A/D converter, pre-amp., gain-amp., ADUC812 one chip processor and PLC/RF transmitting unit. The temperature and humidity sensor is based on MEMS piezoelectric membrane structure and is implemented by using dual function sensor for smart home and smart building.
-
In this study, the filter was designed for tuning center frequency and fabricated using (
$Sr,Ba)TiO_3$ ferroelectrics and$YBa_2CuO_7$ high temperature superconductor thin film. The best result in figure of merit was 35 when the$Ba_{0.5}Sr_{0.5}TiO_3$ thin film deposition temperature was$600^{\circ}C$ , the post anneal condition was$600^{\circ}C$ , 10min in 1atm,$O_2$ . When using$20{\mu}m$ IDC pattern gap. The higher tunability was obtained than using$30{\mu}m$ pattern gap. -
One of the promising routes for producing highly ordered nanostructures is a template method using the porous alumina membrane (PAM). Because the PAM is mechanically, chemically, thermally stabile with highly ordered structure, many researchers have studied under various experimental conditions to fabricate nanostructures. We present the information on the fabrication of about 300 nm nano-mask which have important applications for various patterned nanostructures.
-
Different orientated SBN thin films were deposited by Ion Beam Sputtering, and electric properties were measured on each orientation. Ferroelectric
$Sr_xBa_{1-x}Nb_2O_6$ (SBN) has excellent electro-optic, photo-refractive, piezoelectric, pyroelectric properties. SBN thin film has been deposited by various method, of sol-gel, PLD, CVD, sputtering, etc.. To avoid lead pollution of Pb-system perovskite ferroelectric materials. SBN thin films were fabricated for pyroelectric IR sensor. Using the ceramic target of the same composition and Pt(100)/$TiO_2/SiO-2$ /Si(100) substrate, crystallization and orientation behavior as well as electric properties of the films were examined. Seed layer and thin films thickness was controlled to observe the effect on preferred orientation. We measured I-V, C-V, P-E hysteresis to characterize electric-properties on each orientations. -
The pure
$LiFePO_4$ , carbon added$LiFePO_4(LiFePO_4/C$ ) and pyrene added$LiFePO_4(LiFePO_4/P$ ) are synthesized by using solid-state reaction. XRD patterns show no impurity phase in the three kinds of the cathode materials. The 10wt% pyrene added$LiFePO_4$ shows around 140mAh/g of discharge capacity at 3rd cycle compared to the pure$LiFePO_4$ . The carbon added$LiFePO_4$ shows 145mAh/g of discharge capacity at 3rd cycle and stable cycle-life compared to the others. -
Maxwell displacement current (MDC) measurement has been employed to study the dielectric property of Langmuir-films. MDC flowing across monolayers is analyzed using a rod-like molecular model. A linear relationship between the monolayer compression speed and the molecular area Am. Compression speed was about 30, 40, 50mm/min. Langmuir-Blodgett(LB)layers of Arachidic acid deposited by LB method were deposited onto slide glass as Y-type film. The structure of manufactured device is Au/Arachidic acid/Al, the number of accumulated layers are 9~21. Also, we then examined of the Metal-Insulator-Metal(MIM) device by means of I-V. The I-V characteristics of the device are measured from -3 to +3[V]. The insulation property of a thin film is better as the distance between electrodes is larger.
-
In this paper, a DNA chip with a microelectrode array was fabricated using microfabrication technology. Several probe DNAs consisting of mercaptohexyl moiety at their 5 end were immobilized on the gold electrodes by DNA arrayer. Then target DNAs were hybridized and reacted with Hoechst 33258, which is a DNA minor groove binder and electrochemically active dye. Linear sweep voltammetry or cyclic voltammetry showed a difference between target DNA and control DNA in the anodic peak current values. It was derived from Hoechst 33258 concentrated at the electrode surface through association with formed hybrid. It suggested that this DNA chip could recognize the sequence specific genes.
-
This paper describes anodic bonding characteristics of MCA to Si-wafer using evaporated Pyrex #7740 glass thin-films for MEMS applications. Pyrex #7740 glass thin-films with the same properties were deposited on MCA under optimum RF sputter conditions (Ar 100 %, input power
$1\;W/cm^2$ ). After annealing at$450^{\circ}C$ for 1 hr, the anodic bonding of MCA to Si-wafer was successfully performed at 600 V,$400^{\circ}C$ in$110^{-6}$ Torr vacuum condition. Then, the MCA/Si bonded interface and fabricated Si diaphragm deflection characteristics were analyzed through the actuation and simulation test. It is possible to control with accurate deflection of Si diaphragm according to its geometries and its maximum non-linearity being 0.05-0.08 %FS. Moreover, any damages or separation of MCNSi bonded interfaces did not occur during actuation test. Therefore, it is expected that anodic bonding technology of MCNSi-wafers could be usefully applied for the fabrication process of high-performance piezoelectric MEMS devices. -
This paper presents the characteristics of Ta-N thin film strain gauges that are suitable for harsh environemts, which were deposited on thermally oxidized Si substrates by DC reactive magnetronsputtering in an argon-nitrogen atmosphere (Ar-
$N_2$ (4 ~ 16 %)). These films were annealed for 1 hr in$2{\times}10^{-6}$ Torr in a vacuum furnace with temperatures that ranged from 500 -$1000^{\circ}C$ . The optimized deposition and annealing conditions of the Ta-N thin film strain gauges were determined using 8 %$N_2$ gas flow ratio and annealing at$900^{\circ}C$ for 1 hr. Under optimum formation conditions, the Ta-N thin film strain gauges obtained a high electrical resistivity,${\rho}\;=\;768.93\;{\mu}{\Omega}{\cdot}cm$ , a low temperature coefficient of resistance,$TCR\;=\;-84\;ppm/^{\circ}C$ and a high temporal stability with a good longitudinal gauge factor, GF=4.12. The fabricated Ta-N thin film strain gauges are expected to be used inmicromachined pressure sensors and load cells that are operable under harsh environments. -
The carbon thin film was developed by the CVD method using the carbon source of toluene with the stream of argon gas at
$800{\sim}1100^{\circ}C$ for 1 hour. Developed carbon thin films have the material loading of 0.27 mg($800^{\circ}C$ ), 0.80 mg($900^{\circ}C$ ), 2.3 mg($1000^{\circ}C$ ), and 2.9 mg($1100^{\circ}C$ ) for the disk of 15 mm diameter on single side. The characteristics of carbon thin film as the anode of thin film battery were evaluated using Li|C coin cell. Li|C($1100^{\circ}C$ ) coin cell has the first specific discharge and charge capacity of 953 mAh/g and 374 mAh/g, respectively, resulting the first Ah efficiency of 39.3 %. Capacity retention of the 5th cycle was 93.2 % indicating good cycleability. The carbon thin film prepared by CVD shows good specific capacity and cycleability, but low Ah efficiency. -
A technique to control the operating frequency of microstrip antenna by using the X-cut quartz substrate has been investigated experimentally and theoretically. We consider 6, 8 and 10 GHz resonance frequency in three dimensional quartz plates. Equation of linear piezoelectricity are solved for the thickness-shear approximation of X-cut quartz plates. At X-band frequencies, the microstrip antenna was voltage-controllable using the dc electric field dependence of the piezoelectric constant of X-cut quartz. This work demonstrates advantageous application for X-cut quartz plate in microstrip antenna substrates.
-
The tungsten bronze type of strontium barium niobate(SBN) thin film was synthesized by metal organic decomposion method for SBN stock solution and the SBN thin film process were deposited by spin-coating process on Pt-deposited si-wafer(100) by magnetron sputtering system. The thickness of SBN thin film was 150~200 nm and were optimized for rpm of spin-coater system. The structural variation of SBN thin film was studied by TG-DTA and XRD. The deposited SBN stock solution on annealing at
$400{\sim}800^{\circ}C$ a pure tungsten bronze SBN phase and the corresponding. average grain size about 500~1000 nm influenced by annealing temperature. The piezoelectric properties of prepared SBN thin film, the remanent polarization value(2Pr) and coercive field was$1.2{\mu}C/cm^2$ and 2.15V/cm, respectively. -
Olivine
$LiFePO_4$ cathode materials were synthesized by hydrothermal reaction, and coated by carbon black. The powders were characterized by the X-ray diffraction.$LiFePO_4$ /Li cells were characterized electrochemically by charge/discharge experiments and ac impedance spectroscopy. The result showed the discharge capacity of$LiFePO_4$ /Li cell was 133 mAh/g at the first cycle, and 128 mAh/g at the 30th cycle, respectively. -
This research describes a new constructing method of multifunctional biosensor using many kinds of biomaterials. A metal particle and an array was fabricated by photolithographic. Biomaterials were immobilized on the metal particle. The array and the particles were mixed in a buffer solution, and were arranged by magnetic force interaction and self-assembly. A quarter of total Ni dots were covered by the particles. The binding direction of the particles was controllable, and condition of particles was almost with Au surface on top. The particles were successfully arranged on the array. The biomaterial activities were detected by chemiluminescence and electrochemical methods.
-
굴절률 등을 측정하기 위한 광센서로 이용하기 위해 광섬유 형태의 마이켈슨 간섭계와 측면 연마된 광섬유를 결합한 구조를 제안하였고, 이를 제작 및 특성 평가하였다. 마이켈슨 간섭계 구성은 광 파워 분기비가 50:50인 광커플러와 센서부로 사용하기 위한 측면 연마한 광섬유를 이용하였다. 그리고 광섬유의 끝단에는 거울 역할을 하도록 은(Silver)을 증착하여 구성하였다. 광섬유 형태의 마이켈슨 간섭계 센서의 센서부 표면에 굴절률 용액을 이용하여 광학적 특성을 평가하였다.
-
This paper describes the design, fabrication and characteristics of a micromachined piezoelectric valve utilizing a multilayer ceramic actuator (MCA). The micromachined MCA valve, which uses a buckling effect, consists of three separate structures: the MCA, the valve actuator die and the seat die. The valve seat die with 6 trenches was made, and the actuator die, which is driven by the MCA under optimized conditions, was also fabricated. After Si wafer direct bonding between the seat die and the actuator die, the MCA was also anodically bonded to the seat/actuator die structure. A polydimethylsiloxane (PDMS) sealing pad was fabricated to minimize the leak rate. Finally, the PDMS sealing pad was also bonded to the seat die and the stainless steel package. The MCA valve shows a flow rate of 9.13 sccm at an applied DC voltage of 100 V with a 50% duty cycle and a maximum non-linearity of 2.24% FS. Therefore, the fabricated MCA valve is suitable for a variety of flow control equipment, as a medical bio-system and in the automobile industry.
-
This paper describes the fabrication of SiCN microstructures for super-high temperature MEMS using photopolymerization of pre-ceramic polymer. In this work. polysilazane liquide as a precursor was deposited on Si wafers by spin coating. microstructured and solidificated by UV lithography. and removed from the substrate. The resulting solid polymer microstructures were cross-linked under HIP process and pyrolyzed to form a ceramic of withstanding over
$1400^{\circ}C$ . Finally, the fabricated SiCN microstructures were annealed at$1400^{\circ}C$ in a nitrogen atmosphere. Mechanical characteristics of the SiCN microstructure with different fabrication process conditions were evaluated. The elastic modules. hardness and tensile strength of the SiC microstructure implemented under optimum process conditions are 94.5 GPa, 10.5 GPa and 11.7 N/min, respectively. Consequently, the SiCN microstructure proposed in this work is very suitable for super-high temperature MEMS application due to very simple fabrication process and the potential possiblity of sophisticated multlayer or 3D microstructures as well as its good mechanical properties. -
본 연구에서는 Arachidic acid Langmuir-Blodgett (LB) 막의 표면이미지와 전압-전류 특성을 측정하였다. Arachidic acid는 포화지방산으로 (
$CH3(CH_2)_{18}$ COOH)의 구조를 가지며, 크기가$27.5\;{\AA}$ 으로$CH_3(CH_2)_{18}$ 의 소수기와 COOH의 친수기로 구성되어 있어, LB Trough를 사용하여 박막제작과 분자제어가 쉽다. Chloroform을 용매로 하여 2mmol/l의 농도를 조성하여${\pi}$ -A 등온선을 통해 기체 상태, 액체 상태, 고체 상태를 관찰하였다. LB막의 제작 및 평가에서 막의 안정성은${\pi}$ -A곡선, AFM (Atomic force microscopy) 등을 통하여 확인 하였다. 또한 LB 막을 Metal/LB막/Metal 구조의 소자로 제작하여 전압-전류 특성을 측정하였다. -
The novel urine-activated microbatteries have been successfully demonstrated. In this microbattery, a magnesium (Mg) layer and copper chloride (CuCl) in the filter paper are used as the anode and the cathode, respectively. A stack consisting of a Mg layer, CuCl-doped filter paper and a copper (Cu) layer sandwiched between two plastic layers is hot-pressed into the microbatteries at
$100^{\circ}C$ . The microbatteries can be activated by adding a droplet of human urine. The experimental results show that the microbattery can deliver a maximum voltage of 1.4 V and maximum power of 1.96 mW for the$1\;k{\Omega}$ load resistor. -
$TiO_2$ is a wide band-gap semiconductor (3.4 eV) and can only absorb about 5% of sun light. in the ultraviolet light region, which largely limits its practical applications because of the lower utility of sun light and quantum yield. In order to move the absorption edge of$TiO_2$ films to visible spectrum range, we have made the impurity level within a band-gap of$TiO_2$ thin film by introduction of oxygen vacancy. Oxygen-defected$TiO_2$ thin film have prepared by reactive sputtering with the partial pressure of Ar:$O_2$ =10:90~99.33:0.66 ratio. As a result, we could have the impurity level of about 2.75 eV on condition that oxygen partial pressure is below 7%. -
광-바이오센서로 이용하기 위해 광섬유 형태의 마흐-젠더 간섭계와 측면 연마된 광섬유를 결합한 구조를 제안하였고, 이를 제작 및 특성 평가하였다. 마흐-젠더 간섭계 구성은 1310nm와 1550nm 파장에서 광 파워 분기비가 50:50인
$2{\times}2$ 광커플러 2개를 제작하여 구성하였으며, 센서부로는 측면 연마한 광섬유를 이용하였다. 제작된 광섬유 형태의 마흐-젠더 간섭계 센서의 센서부 표면에 다양한 굴절률 용액을 이용하여 광학적 특성을 평가하였다. -
This research aims to develop the multiple channel electrochemical DNA chip using microfabrication technology. At first, we fabricated a high integration type DNA chip array by lithography technology. Several probe DNAs consisting of thiol group at their 5-end were immobilized on the gold electrodes. Then target DNAs were hybridized and reacted. Cyclic voltammetry showed a difference between target DNA and control DNA in the anodic peak current values. Therefore, it is able to detect a plural genes electrochemically after immobilization of a plural probe DNA and hybridization of non-labeling target DNA on the electrodes simultaneously. It suggested that this DNA chip could recognize the sequence specific genes.
-
Microarray-based DNA chips provide an architecture for multi-analyte sensing. In this paper, we report a new approach for DNA chip microarray fabrication. Multifunctional DNA chip microarray was made by immobilizing many kinds of biomaterials on transducers (particles). DNA chip microarray was prepared by randomly distributing a mixture of the particles on a chip pattern containing thousands of m-scale sites. The particles occupied a different sites from site to site. The particles were arranged on the chip pattern by the random fluidic self-assembly (RFSA) method, using a hydrophobic interaction for assembly.
-
This paper describes the ohmic contact formation between a TiW film as a contact material deposied by RF magnetron sputter and polycrystalline 3C-SiC films deposied on thermally grown Si wafers. The specific contact resistance (
${\rho}_c$ ) of the TiW contact was measured by using the C-TLM. The contact phase and interfacial reaction between TiW and 3C-SiC at high-temperature were also analyzed by XRD and SEM. All of the samples didn't show cracks of the TiW film and any interfacial reaction after annealing. Especially, when the sample was annealed at$800^{\circ}$ for 30min., the lowest contact resistivity of$2.90{\times}10^{-5}{\Omega}cm^2$ was obtained due to the improved interfacial adhesion. -
The polycrystalline 3C-SiC thin films heteroepitaxially grown by LPCVD method using single precursor 1. 3-disilabutane at
$850^{\circ}C$ . The crystallinity of the 3C-SiC thin film. was analyzed by XPS. Residual strain was investigated by Raman scattering. The surface morphology and voids between SiC and$SiO_2$ were measured by SEM. The grown poly 3C-SiC thin film is very good crystalline quality, surface like mirror, and low defect and strain. Therefore, the polycrystalline 3C-SiC is suitable for harsh environment MEMS applications. -
High throughput analysis using a DNA chip microarray is powerful tool in the post genome era. Less labor-intensive and lower cost-performance is required. Thus, this paper aims to develop the multi-channel type label-free DNA chip and detect SNP (Single nucleotide polymorphisms). At first, we fabricated a high integrated type DNA chip array by lithography technology. Various probe DNAs were immobilized on the microelectrode array. We succeeded to discriminate of DNA hybridization between target DNA and mismatched DNA on microarray after immobilization of a various probe DNA and hybridization of label-free target DNA on. the electrodes simultaneously. This method is based on redox of an electrochemical ligand.
-
The electrical conduction mechanism of ITO / Terbium tris - (1 - phenyl - 3 - methyl - 4 - (tertiarybutyryl) - pyrazol - 5 - one) triphenylphosphine oxide [
$(tb-PMP)_3Tb-(Ph_3PO)$ ]/Mg/Al devices has been investigated. The calculation of electric field in single layer organic layer between cathode and anode shows the uniform distribution for the electron injection barrier of over 1.4 eV. The measured current-voltage curve shows well matching with the calculated curve based on the tunneling injection of electron under the uniform distribution of electric field. -
At present, Characteristic of high color reproduction for LCD products needed in Display market. Therefore, The improving methods of high color reproduction are alteration of color Filter or Red, Green, Blue phosphor alteration of CCFL. But High color reproduction phosphor is short life time as compared with conventional phosphor. In this experiment, by using split the Red, Green, Blue CCFL with high color reproduction phosphor instead of conventional high color reproduction CCFL. We knew that the high color reproduction RGB split CCFL BLU has same spectrum data and chromaticity, but has long life time as manufacturing RGB split CCFL and reduce chromaticity shift following long time discharge as compared with conventional high color reproduction CCFL.
-
Organic light emitting diodes (OLEDs) show a lot of advantages for display purposes. Because OLEDs provide white light emission with a high efficiency and stability, it is desirable to apply OLEDs as an illumination light source and back light in LCD displays. We synthesized new emissive materials, namely [2-(2-hydroxyphenyl)benzoxazole] (
$Zn(HPB)_2$ ) and [(2-(2-hydroxyphenyl)benzoxazole)(8-hydoxyquinoline)] (Zn(HPB)q), which have a low molecular compound and thermal stability. We studied white OLEDs using$Zn(HPB)_2$ and Zn(HPB)q. The fundamental structures of the white OLEDs were ITO/PEDOT:PSS (23 nm)/NPB (40 nm)/$Zn(HPB)_2$ (40 nm)/Zn(HPB)q (20 nm)/$Alq_3$ (10 nm)/LiAl (120 nm). As a result, we obtained a maximum luminance of$15325\;cd/m^2$ at a current density of$997\;mA/cm^2$ . The CIE(Commission International de l'Eclairage) coordinates are (0.28, 0.35) at an applied voltage of 9.75 V. -
We investigate the influence of the ambient gas during thermal annealing on the photoluminescence (PL) properties of europium-silicate thin films. The films were fabricated on substrates by using a radio-frequency magnetron sputtering method and subsequent rapid thermal annealing (RTA). The mechanism for the formation of the europium silicates during the annealing process was investigated by using X-ray diffraction (XRD) spectroscopy, Auger electron spectroscopy (AES) and transmission electron microscopy (TEM). A series of narrow PL spectra from
$Eu^{3+}$ ions was observed from the film annealed in$O_2$ ambient. Broad PL spectra associated with$Eu^{2+}$ ions, with a maximum intensity at 600 nm and a FWHM of 110 nm, were observed from the thin film annealed at$1000^{\circ}C$ in$N_2$ ambient. -
The ITO thin films were prepared by FTS (Facing Targets Sputtering) system on polycarbonate(PC) substrate. The ITO thin films were deposited with a film thickness of 100nm at room temperature. As a function of sputtering conditions, electrical and optical properties of prepared ITO thin films were measured. The electrical and optical characteristics of the ITO thin films were evaluated by Hall Effect Measurement(EGK) and UV-VIS spectrometer(HP), respectively. From the results, the ITO thin film was deposited with a resistivity
$8{\times}10^{-4}[{\Omega}-cm]$ and transmittance over 80%. -
We have studied phase transition time and response time of optically compensated splay(OCS) cell as a function of surface pretilt angle. With decreasing surface pretilt angle, phase transition time decreases and response time becomes faster in the OCS cell. Besides, the more surface pretilt angle decreases, the easier OCS structure is obtained.
-
Zinc sulfide is a well-known host material of phosphor emitting different radiations dependent on different doping impurities of metallic ion. It emits green, blue, orange-yellow or white colors by doping with activators such as copper, silver, manganese and so on. In this study, manganese, copper and chlorine doped ZnS phosphor (ZnS:Mn,Cu,Cl) was synthesized by solid-state reaction method. The optical properties were investigated according to different concentrations of sulfur and activators used during the synthesis process.
-
In this paper, the neural network model of the pretilt angle in the nematic liquid crystal on the homogeneous polyimide surface with different surface treatments is investigated. The pretilt angle is one of the main factors to determine the alignment of the liquid crystal display. The pretilt angle is measured to analyze the variation of the characteristics on the various process conditions. The rubbing strength and the hard baking temperature are considered as input factors. Latin hypercube sampling was used to generate initial weights and biases.
-
In this thesis, verifies electrical-optical characteristics of phosphorescent materials. basic structure of fabricating devices is glass/ITO/
$\alpha$ -NPD($300{\AA}$ )/CBP:Guest($300{\AA}$ )/BCP($80{\AA}$ )/$Alq_3(100{\AA})$ /Al($1000{\AA}$ ). In efficiency, fabrication of organic light emitting diodes using$Ir(btp)_2acac$ phosphorescent material is external quantum efficiency 0.268% as doping concentration 3%. At CIE coordinates, phosphorescent material$Ir(btp)_2acac$ following materials moves high purity red color(x=0.6686, y=0.3243). The brightness shows$285cd/cm^2$ . -
This letter reports the fabrication of polycrystalline silicon thin-film transistors (poly-Si TFT) on flexible plastic substrates using amorphous silicon (a-Si) precursor films by sputter deposition. The a-Si films were deposited with mixture gas of argon and helium to minimize the argon incorporation into the film. The precursor films were then laser crystallized using XeCl excimer laser irradiation and a four-mask-processed poly-Si TFTs were fabricated with fully self-aligned top gate structure.
-
Electro-optical (EO) characteristics of twisted nematic (TN) - liquid crystal display (LCD) on the NDLC thin film using obliquely ion beam (IB) exposure as new ion beam (IB) type system (DuoPIGatrion ion source). A good uniform alignment of the nematic liquid crystal (NLC) alignment with the ion beam exposure on the NDLC thin film was observed. In addition, it can be achieved the good EO properties of the ion-beam-aligned TN-cell on polyimide surface ; the stable VT curve in the ion-beam-aligned TN cell on the NDLC thin film with ion beam exposure using new type IB equipment was obtained. and the fast response time in the ion-beam-aligned TN cell on the NDLC thin film with ion beam exposure using new type IB equipment was obtained.
-
광기전소자는 유한하고 환경오염을 유발시키는 화석연료를 대체할 수 있는 무한하고 친환경적인 에너지로 많은 사람들의 관심을 받고 있다. 하지만 30%에 못 미치는 에너지 변환 효율은 아직 미래의 에너지로 활용하기 위해서 많은 연구와 개발이 필요한 분야이다. 또한 무기재료를 이용한 광기전소자는 비싼 가격으로 인하여 대중적으로 이용하기에는 어려움이 뒤따르고 있다. 하지만 유기재료를 소재로 이용한 광기전소자는 상대적으로 저렴한 가격과 유연한 소자의 제작에 유리한 점 때문에 많은 연구자들의 관심을 끌고 있다. 본 연구에서는 광기전소자의 개발에 널리 활용되는 CuPc를 이용하여 주파수 응답 특성을 살펴봄으로써 재료가 가지고 있는 전기적인 특성을 살펴보았다.
-
In this work, impedance Spectroscopic analysis was applied to study the effect of plasma treatment on the surface of indum-tin oxide (ITO) anodes using
$O_2$ gas and to model the equivalent circuit for organic light emitting diodes (OLEDs) with the$O_2$ plasma treatment of ITO surface at the anodes. This device with ITO/TPD/Alq3/LiF/Al structure can be modeled as a simple combination of a resistor and a capacitor. The$O_2$ plasma treatment on the surface of ITO shifts the vacuum level of the ITO as a result of which the barrier height for hole injection at the ITO/organic interface is reduced. The impedance spectroscopy measurement of the devices with the$O_2$ plasma treatment on the surface of ITO anodes shows change of values in parallel resistance ($R_p$ ) and parallel capacitance ($C_p$ ). -
We have used ITO/
$Alq_3$ /Al structure to study complex impedance in$Alq_3$ based organic light emitting diode. Equivalent circuit was analyzed in a device structure of ITO/$Alq_3$ /Al by varying the thickness of$Alq_3$ layer from 60 to 400nm. The impedance results can be fitted using equivalent circuit model of parallel combination resistance$R_p$ and capacitance$C_p$ with a small series resistance$R_s$ . -
We have studied the narrow viewing angle liquie crystal displays (LCDs) using a hybrid aligned nematic liquid crystal (LC) cell driven by a fringe field. The device using a LC with positive dielectric anisotropy has a relatively low transmittance. This paper describes how to improve light efficiency by optimizing electrode structure. The results show that the device exhibits a high transmittance of 90%, low driving voltage and narrow viewing angle less than
$20^{\circ}$ along horizontal direction which is highly effective for private display application. -
Electrical, optical, surface, and structural properties of amorphous indium zinc oxide (IZO) films grown on
$SiO_2$ /PES/$SiO_2$ substrate by a RF sputtering in pure Ar ambient at room temperature were investigated. A sheet resistance of$13.5\;{\Omega}{\square}$ , average transmittance above 85 % in 550 nm, and root mean square roughness of$10.5\;{\AA}$ were obtained even in the IZO layers grown at room temperature in pure Ar ambient. Without addition of oxygen gas during IZO sputtering process, we can obtain high quality IZO anode films from the specially synthesized oxygen rich IZO target. XRD result shows that the IZO films grown at room temperature is completely amorphous structure due to low substrate temperature. In addition, the electrical and optical properties of the flexible OLED fabricated on IZO/$SiO_2$ /PES/$SiO_2$ is critically influenced by the electrical properties of a IZO anode. This findings indicate that the IZO/$SiO_2$ /PES/$SiO_2$ is a promising anode/substrate scheme for realizing organic based flexible displays. -
We prepared ZnO:Al thin films under various sputtering conditions by using facing targets sputtering (FTS) method. ZnO:Al thin films were deposited on polyethersulfon (PES) substrate which is the thickness of 200um at room temperature. the electrical, optical and crystallographic properties of ZnO:Al were investigated. From the results, prepared alll ZnO:Al thin films showed (002) diffraction peaks. ZnO:Al thin film with a resistivity of
$8.4{\times}10^{-4}{\Omega}cm$ and a transmittance of over 80% in visible range was obtained. -
We investigated the electro-optic characteristics of a fringe-field driven twisted nematic (TN) display using a LC with negative dielectric anisotropy depending on electrode structures. The fringe-field driven TN mode known to exhibit wide viewing angle and excellent color characteristics over a wide viewing range and high transmittance. However, when the electrode width and distance between them is large enough, the transmittance is lower than the conventional vertical field-driven TN mode. By narrowing the electrode width and distance, the transmittance reaches the same value of the conventional TN mode.
-
For the possible applicative pearl pigment, multi-layered
$TiO_2/Al/TiO_2$ ,$TiO_2/Cr/TiO_2$ thin film was deposited on glass substrate by using sputtering method.$TiO_2$ and Al or Cr was selected as a possible high and low refraction materials at the film interface respectively. Optical properties including color effect were systematically studied in terms of different film thickness and film layers by using spectrometer. In order to expect the experimental results, the simulation program, the Essential Macleod Program(EMP) was adopted and compared with the experimental data. The film consisting of$TiO_2/Al/TiO_2$ ,$TiO_2/Cr/TiO_2$ layers show the wavelength range of 430 - 760nm, typically color ranges between bluish purple and red. It was confirmed that this experimental result was quite well matched with the experimental one. -
Organic light-emitting diodes (OLED) as pixels for flat panel displays are being actively pursued because of their relatively simple structure, high brightness, and self-emitting nature [1, 2]. The top-emitting diode structure is preferred because of their geometrical advantage allowing high pixel resolution [3]. To enhance the performance of TOLEDs, it is important to deposit transparent top cathode films, such as transparent conducting oxides (TCOs), which have high transparency as well as low resistance. In this work, we report on investigation of the characteristics of an indium tin oxide (ITO) cathode electrode, which was deposited on organic films by using a radio-frequency magnetron sputtering method, for use in top-emitting organic light emitting diodes (TOLED). The cathode electrode composed of a very thin layer of Mg-Ag and an overlaying ITO film. The Mg-Ag reduces the contact resistivity and plasma damage to the underlying organic layer during the ITO sputtering process. Transfer length method (TLM) patterns were defined by the standard shadow mask for measuring specific contact resistances. The spacing between the TLM pads varied from 30 to
$75\;{\mu}m$ . The electrical properties of ITO as a function of the deposition and annealing conditions were investigated. The surface roughness as a function of the plasma conditions was determined by Atomic Force Microscopes (AFM). -
We studied the electro-optical characteristic of vertical alignment liquid crystal display(VA-LCD) on the
$SiO_x$ thin film deposited$45^{\circ}$ oblique by rf magnetic sputtering system. LC alignment characteristic showed homeotropic alignment, and pretilt angle was about$90^{\circ}$ . A uniform liquid crystal alignment effect on the$SiO_x$ thin film was achieved and the electro-optical characteristic of the$SiO_x$ thin film deposited$45^{\circ}$ oblique by rf magnetic sputtering system was excellent. -
We studied the nematic liquid crystal (NLC) alignment capability by the IB(Ion bean) alignment method on a NDLC(Nitrogen Diamond Like Carbon) as a-C:H thin film. and investigated electro-optical performances of the IBaligned IPS(In plane switching)cell with NDLC surface. A good LC alignment by IB exposure on a NDLC surface was achieved. Monodomain alignment of the IB aligned IPS cell can be observed. The goodelectro-optical (EO) characteristics of the IB aligned IPS cell was observed with oblique IBexposure on the NDLC as a-C:H thin film for 1 min.
-
최근 많은 학자들에 의해서 차세대 디스플레이로 각광 받고 있는 유기EL에 대한 연구가 활발하게 진행되고 있다. 그 중에서 본 연구에서 수행하고자 하는 분야는 유기EL의 발광재료의 개발에 대한 연구이다. 그 분야중 하나가 세계적으로 많은 학자들에 의해서 연구되고 있는 전이금속을 이용한 발광재료의 개발이다. 그 중 본 연구에서는 양 말단 금속을 가교체로 연결한 이 핵 금 착체에 관한 연구를 수행하였다. 이러한 가교체들은 유기물의 결합 상태에서 나타나는 전자전이외에 금속과 유기물사이의 결합에 의해 나타날 수 있는 전자전이 또 한 가지고 있다. 이러한 전자전이로 인해 발광재료로서의 특징을 가질 수 있다. 이러한 착체를 합성하기위해 가교체
$H-C{\equiv}C-C{\equiv}C-C-H$ 와$(Cis)H-C{\equiv}C-CH=CH-C{\equiv}C-H$ 를 이용하여 금과 N-Heterocyclic-Carbene가 배위결합된 양말단의 금속 그룹을 연결하여 합성하였다. 합성된 착체는 FT-IR,$^1H$ -NMR,$^{13}C$ -NMR, UV/VIS/NIRspectrophotometer, Emission spectrometer를 사용하여 분석하였다. -
Transparent metal cathodes using Ca/Ag, Ba/Ag double layers have been fabricated to investigate its optical transmission. The transmission spectra show that Ca/Ag and Ba/Ag double layers result in higher transmittance compared to Ag single layer. The Ba/Ag double layer shows over 80% transmittance at 400 nm and 70% at 700 nm. The electroluminescence efficiency of fluorescent TEOLED using Ba/Ag transparent metal cathode was 10 ~ 15 cd/A.
-
RGB 3색 LED를 이용 백라이트에서는 백색 광원을 구현을 위해서는 혼색을 위한 충분한 공간 또는 광학계를 필요로 하고 있다. 본 연구에서는 RGB 3색 LED의 컬러 혼색을 위해 광학 설계를 진행을 하였고, 이 광학계는 광경로 변경을 통해 BLU 내부 공간에서 각각의 3색 LED가 충분한 혼색 구간을 확보하여 백색을 구현하게 된다. 최근 적용하고 있는 Side emitting 방식 LED가 아닌 Top view type의 power LED를 이용하여 32인치급 TV LCD 백라이트를 제작하여 최적화를 진행하였다.
-
A single-gap and single-gamma transflective liquid-crystal (LC) display using patterned vertical alignment (PVA) mode was designed. In the device, a vertical electric field drives a vertically aligned LC to tilt down to optimize polarization efficiency. Electrodes of transmissive and reflective area were patterned
$22.5^{\circ}$ and$45^{\circ}$ with respect to the polarizer so that a tilt-down direction of the LC director was$22.5^{\circ}$ and$45^{\circ}$ in the reflective and transmissive regions, respectively. In the device, the cell gap was the same for both regions, and the gamma curve matched each other in both regions because tilt angle of LC director was the same according to the applied voltage. Moreover, the dark state was irrespective of the cell retardation value at normal direction, which was highly important in massive fabrications. The switching principle and electro-optic characteristics of the device are reported herein. -
광산업 및 디스플레이 산업의 발전에 따라 관련 제품의 핵심 부품 및 소재 개발이 매우 중요하게 대두되고 있다. 전계방출 소자 및 back light가 되는 나노 발광체의 핵심소재중 하나인 CNT paste는 국내외에서 연구가 진행중이다. 본 연구에서는 메탄올속에서 초음파를 이용하여 분산시킨 CNT 분말, 유기 바인더, 용매, glass frit, Ag powder 등을 사용하여 paste를 만들고, TGA(Thermogravimetric Analyzer)와 SEM(Scanning Electron Microscopy) 분석에 의해 제조 공정의 최적화를 실시하였다.
-
서로 다른 굴절률을 갖는 유전재료를 주기적으로 배열함으로써 광자금지대(PBG)를 형성시킬 수 있는 2 차원(2D)광자결정배열에 선결함(line defects)을 줌으로써 기존의 평판형광도파로(PLC)와는 다른 개념의 광자결정도파로를 제작할 수 있다. 특히, 광자결정도파로는 급격한 굽힘(bending)에도 저손실의 효과를 갖도록 할 수 있기 때문에 광집적회로에 효과적으로 적용된다. 본 연구에서는 정방형 격자구조의 2차원 광자결정에 대한 광자밴드구조를 구하고 이로부터 추출된 구조매개변수를 이용하여 광자결정도파로를 설계하고 그의 특성을 평가하였다. 특히, 광자결정도파로와 PLC형도파로 특성을 비교하였다. 설계된 광자결정 도파로를 전자빔 및 홀로그래픽 리소그라피를 이용하여 제작, 평가할 것이다.
-
We report on the fabrication of P3HT-based thin-film transistors (TFT) that consist of indium-zinc-oxide (IZO), PVP (poly-vinyl phenol), and Ni for the source-drain (S/D) electrode, gate dielectric, and gate electrode, respectively. The IZO S/D electrodes of which the work function is well matched to that of P3HT were deposited on a P3HT channel by thermal evaporation of IZO and showed a moderately low but still effective transmittance of ~25% in the visible range along with a good sheet resistance of
${\sim}60{\Omega}/{\square}$ . The maximum saturation current of our P3HT-based TFT was about$15{\mu}A$ at a gate bias of -40V showing a high field effect mobility of$0.05cm^2/Vs$ in the dark, and the on/off current ratio of our TFT was about$5{\times}10^5$ . It is concluded that jointly adopting IZO for the S/D electrode and PVP for gate dielectric realizes a high-quality P3HT-based TFT. -
The purpose of this research was to investigate and to find out the optimal annealing condition to mold an aspheric glass to be used for mobile phone module having 2 megapixel and
$2.5{\times}$ zoom. Taking annealing rate and re-press temperature after molding as molding variables under the identical molding temperature and pressure, a glass lens was molded. And, Form Accuracy, Lens Thickness, Refractive Index, and Modulation Transfer Function(MTF) were measured in order to observe characteristics of molded lens, and then optimal annealing conditions were determined based on the resulting data. Properties of lens molded under the optimal conditions revealed Form Accuracy[PV]$0.2047\;{\mu}m$ in aspheric surface, and$0.2229\;{\mu}m$ in plane, and MTF value was 30.3 % under 80 lp/mm. -
COT-OLED는 컬러필터와 백색 유기 EL층을 형성하는 기술로써 Red, Green, Blue 빛을 내는 유기 EL 층을 Hard Mask를 이용하여 독립적으로 증착하는 기존의 OLED소자와는 달리, 사진 식각에 의하여 컬러필터를 형성한 다음 백색 유기 EL층을 Hard Mask를 사용하지 않고 형성하는 제작 방법이다. 본 실험에서는 제작이 어려운 백색 유기 EL층 대신 녹색 유기 EL층를 증착하여 실험하였다.
-
We report on the rapid thermal annealing effect on the electrical, optical, and structural properties of IZO transparent conducting oxide films grown by box cathode sputtering (BCS). To investigate structural properties of rapid thermal annealed IZO films in
$N_2$ atmosphere as a function of annealing temperature, syncrotron x-ray scattering experiment was carried out. It was shown that the amorphous structure of the IZO films was maintained until$400^{\circ}C$ because ZnO and$In_2O_3$ are immiscible and must undergo phase separation to allow crystallization. In addition, the IZO films grown at different Ar/$O_2$ ratio of 30/1.5 and 30/0 showed different preferred (222) and (440) orientation, respectively, with increase of rapid thermal annealing temperature. The electrical properties of the OLED with rapid thermal annealed IZO anode was degraded as rapid thermal annealing temperature of IZO increased. This indicates the amorphous IZO anode is more beneficial to make high-quality OLEDs. -
과학문명의 발전으로 인간은 점차 보다 윤택한 싫을 영위하기 위해 노력하고 있다. 하지만 지구의 유한한 자원, 특히 화석연료만으로는 폭발적으로 늘어가는 에너지의 소비는 인류의 안락함을 보장해 줄 수 없게 되었다. 이에 따라 인간의 삶에 비한다면 무한한 태양에너지를 효율적으로 활용하는 것은 무엇보다 중요한 일이라 할 수 있다. 그러나 현재 활용되고 있는 무기 태양전지는 30%에 못 미치는 에너지 변환 효율은 아직 미래의 에너지로 활용하기 위해서 많은 연구와 개발이 필요한 분야이다. 또한 무기재료를 이용한 광기전소자는 비싼 가격으로 인하여 대중적으로 이용하기에는 어려움이 뒤따르고 있다. 하지만 유기재료를 소재로 이용한 광기전소자는 상대적으로 저렴한 가격과 유연한 소자의 제작에 유리한 점 때문에 많은 연구자들의 관심을 끌고 있다. 본 연구에서는 광기전소자의 개발에 널리 활용되는 ZnPc를 이용하여 주파수 응답 특성을 살펴봄으로써 재료가 가지고 있는 전기적인 특성을 살펴보았다.
-
Characteristics of InAs/GaAs quantum dot (QD) ridge laser diodes (LDs) are investigated for high-power
$1.3\;{\mu}m$ applications. For QD ridge LDs with a$5-{\mu}m$ -wide stripe and a 1-mm-long cavity, the emission wavelength of 1284.1 nm, the single-uncoated-facet CW output power as high as 90 mW, the external efficiency of 0.31 W/A and the threshold current density of$800\;mA/cm^2$ are obtained. The linewidth enhancement factor ($\alpha$ -factor) is successfully measured to be between 0.4 and 0.6, which are about four times as small values with respect to conventional quantum well structure. It is possible that this result significantly reduce the filamentation of far-field profiles resulting in better beam quality for high power operation. -
We have studied the viewing angle control of a twist nematic liquid crystal display (TN-LCD). The TN-LCD exhibits a bad image quality along vertical direction, due to characteristics such as low contrast ratio and gray scale inversion. The proposed new TN-LCD has the function of switching between the wide viewing mode and narrow viewing angle mode using two tilted LC layers at both sides of a TN-cell. Tilt angles of the two LC layers,
$14^{\circ}$ and$60^{\circ}$ were required in both wide viewing angle and narrow viewing angle modes, respectively. -
플라즈마 화상표시기 (PDP)의 보호막 물질로 사용 중인 다결정 MgO의 특성을 개선하기 위하여 본 연구에서는 MgO에 희토류계 원소를 치환하여 제조하였으며, 치환량에 따른 MgO 보호막의 광학적 특성과 전기적 특성을 고찰하였다. MgO + 100 ppm
$Gd_2O_3$ 조성으로 제작한 MgO 박막의 이차전자 방출계수 값이 순수 MgO 보다 35% 높게 나타났다.$Gd_2O_3$ dopant가 100 ppm 첨가시까지 밀도가 증가하였으나, 그 이상 첨가시 감소하는 경향을 나타냈다. 가속전압 200 V에서 이차전자 방출계수는 0.138 이었고 표면거칠기는 5.77 nm 이었으며 투과율은 550 nm 에서 95.76% 이었다. -
$Eu^{3+}$ 와$Pr^{3+}$ 이 첨가된$SrTiO_3$ 형광체를 고상반응법으로 제조하였다.$SrTiO_3:Pr$ 형광체는$^3P_J(J=0,1,2){\rightarrow}^3H_4$ 에 의한 490nm 부근의 녹색발광과$^1D_2{\rightarrow}^3H_4$ 에 의한 618nm 의 적색발광이 동시에 나타났다.$SrTiO_3:Eu$ 형광체는$SrTiO_3:Pr$ 형광체와 달리$^5D_0{\rightarrow}^7F_1$ 에 의한 583nm와$^5D_0{\rightarrow}^7F_2$ 에 의한 610nm의 적색발광만 각각 관찰되었다.$SrTiO_3$ 의 모체에서$Eu^{3+}$ 는 inversion sysmmetry를 가짐으로써 610nm의 electric dipole transition 보다는 583nm의 magnetic dipole transition이 강하게 일어났다. -
Top emission OLED 소자에 사용되는 ITO(Indium-Tin-Oxide)의 저항을 개선하여 보다 낮은 저항을 가지는 전극을 제작하기 위해 AZO(ZnO-Ag-ZnO)를 제작하였다. AZO박막은 기존의 ITO박막이 수십
$\Omega$ 을 나타내던 것과 비교하여$8{\Omega}$ 으로 매우 낮은 저항을 나타내었다 투과율은 84%로 기존의 ITO박막과 유사한 성능을 나타내었다. -
As DLC coating possesses such features as, high hardness, high elasticity, abrasion resistance and chemical stability, there have been exerted continuous efforts in research works in a variety of fields, and this technology has also been applied widely to industrial areas. In this research work, the optimal grinding condition was identified using Microlens Process Machine in order to contribute to the development of aspheric glass which is to be used for mobile phone module having 2 megapixel and
$2.5{\times}$ zoom, and mold core (WC) was manufactured having performed ultra-precision machining and effects of DLC coating on shape accuracy(P-V) of mold core and surface roughness(Ra) as well were measured and evaluated. -
The Ce-doped YAG(Yttrium Aluminum Garnet,
$Y_3Al_5O_{12}$ ) phosphor powders were synthesized by Sol-gel method. The luminescence, formation process and structure of phosphor powders were investigated by means of XRD, SEM and PL. The XRD patterns show that YAG phase can form through sintering at$1000^{\circ}C$ for 2h. This temperature is much lower than that required to synthesize YAG phase via the conventional solid state reaction method. There were no intermediate phases such as YAP(Yttrium Aluminum Perovskite,$YAlO_3$ ) and YAM(Yttrium Aluminum Monoclinic,$Y_4Al_2O_9$ ) observed in the sintering process. The powders absorbed excitation energy in the range 410~510nm. Also, the crystalline YAG:Ce showed broad emission peaks in the range 480~600nm and had maximum intensity at 528nm. -
We report on the fabrication of organic-based flexible display using an amorphous IZO anode grown at room temperature. The IZO anode films were grown by a conventional DC reactive sputtering on polycarbonate (PC) substrate at room temperature using a synthesized IZO target in a Ar/
$O_2$ ambient. X-ray diffraction examination results show that the IZO anode film grown at room temperature is complete amorphous structure due to low substrate temperature. It is shown that the$Ir(ppy)_3$ doped flexible organic light emitting diode (OLED) fabricated on the IZO anode exhibit comparable current-voltage-luminance characteristics to OLED fabricated on conventional ITO/glass substrate. These findings indicate that the IZO anode film grown on PC substrate is a promising anode materials for the fabrication of organic based flexible displays. -
We have demonstrated highly efficient WOLED with two separated emissive layers using a blue fluorescent dye and a red phosphorescent dye. we also obtain stable
$CIE_{x,y}$ coordinates with two-layered WOLEDs. The device structure was ITO/2-TNATA/NPB/two separated emissive layers/Bphen/Liq/Al. The maximum luminous efficiency of the device was 11.6 cd/A at$20\;mA/cm^2$ and$CIE_{x,y}$ coordinates varied from (x = 0.33, y = 0.37) at 6V to (x = 0.28, y = 0.35) at 14V. -
Electrowetting phenomenon is applied in the various field of technology. One of that is electrowetting display as a paper like electronic paper. Fast response and easy to express a color is goodness. In spite of that, the oil movement of the electrowetting display is irregular. So it doesn't look like uniform. Because of above reason, electrowetting display using patterned electrode is made and the characteristic of oil movement is observed. Electrode and polymer wall is patterned by photo-lithography. We analyze the oil movement according to the variation of size and the position of etched electrode area.
-
본 논문에서는 전력기기의 고체 절연물내에서 발생하는 전기트리의 진전양상 및 그에 대한 PD 분포 특성을 Hn(q),
$Hn(\Psi)$ ,$Hq_{avg}{\Psi})$ ,$Hq_{max}(\Psi)$ 로 표현하였다. 또한 통계적 분포의 변화정도를 나타내어 정량적으로 확인을 하고자 하였다. 논문에서 사용한 트리 결함은 침-평판 트리, 보이드트리, 고체절연물내 이물트리 등 세 가지 경우이며, 각각의 트리 진전에 대한 특성은 서로 다른 특성을 보이는 것으로 나타났다. -
The salt contamination on the distribution facilities was investigated with the wind direction and velocity. Most previous researches were concentrated on the measurement of The Equivalent Salt Deposit Density(ESDD) without environmental consideration. The wind direction and velocity of the many environmental conditions are the most important factors to analyze salt contamination. Pohang area which is located on the south and east of the Korean Peninsula was chosen to do this research. This research will present the result of ESDD depending on the wind direction and velocity.
-
In this paper, new and aging sample of MCCB and ELCB are investigated the main performance test such as short circuit test, mechanical and electrical endurance test, dielectric test and surge current test. The surface conditions of new and aging sample are analyzed by SEM, TGA and DSC. The ELCB occurred badness mainly in short circuit test and surge current test. The badness cause of short circuit test was confirmed due to imperfect contact of contact part.
-
This paper describes a low-level partial discharge(PD) testing that has been accepted as a non-destructive test method on insulation performance of electronic components. A comparative PD analysis combined with the Withstand Voltage Test (WVT) specified in IEC standards is carried out on high frequency switching transformers. The analysis shows that insulation degradation of the transformers under test progresses during the WVT. To avoid insulation degradation of the specimen, PD test has to be carried out at as low voltage as possible. In this study, the PD test on the transformers is performed in ranges from 50% to 70% of the test voltage specified in the WVT by measuring apparent charges below 1 pC. From the experimental results, it is expects that the low-level PD test is applicable for electronic components as a replacement of the WVT.
-
PD(partial discharge) occurred from variable PD sources in air may be the cause of breakdown in high voltage equipment which affect huge outage in power system. Identification and localization of PD sources is very important for engineer to cope with huge accident beforhand. PD phenomena can be detected by acoustic emission sensor or electromagnetic sensor like antenna. This paper has investigated the identification method using PCA(principal component analysis) for the PD signals from variable PD sources, for which the electric field distribution and PD inception voltages were simulated by using commercial FEM program. PD signals was detected by ultra wideband antenna. Their own features were extracted as the frequency coefficients transformed with FFT(fast fourier transform) and used to obtain independent pincipal components of each PD signals.
-
$SF_6$ gas is widely used in industrial of insulation field. In this paper,$N_2$ is mixed to improve pure$SF_6$ gas characteristics. Electron transport coefficients in$SF_6-N_2$ mixture gases are simulated in range of E/N values from 70 to 400 [Td] at 300K and 1 Torr by using Boltzmann equation method. The results have been obtained by using the electron collision cross sections by TOF, PT, SST sampling, compared with the experimental data determined by the other author. It also proved the reliability of the electron collision cross sections and shows the practical values of computer simulation. The result of Boltzmann equation and Monte Carlo Simulation has been compared with experimental data by Ohmori, Lucas and Carter. The swarm parameter from the swarm study are expected to sever as a critical test of current theories of low energy scattering by atoms and molecules. -
전류 및 전압을 측정하는 것은 오래전부터 CT(current transformer)/PT(potential transformer) 가 많이 사용되어져 왔지만, 이들은 iron core를 사용하기 때문에 포화특성이 발생하게 되어, 오차를 유발하게 된다. 이에 대한 대처 방안으로서 현재는 로고스키코일 및 분압방식을 이용한 ECT/EVT에 대한 적용이 진행이 되고 있다. ECT/EVT는 포화특성이 없고, 선형성이 매우우수하며, 소형, 경량이라는 점에서 현재 배전반의 변화 추세를 구현할 수 있는 충분한 능력을 지니고 있다. 이에 본 논문에서는 ECT/EVT를 제작하여, 특성을 분석하고자 한다. 특이점은 ECT/EVT가 EPOXY SPACER에 내장이 되어 사용이 되며, 이런 사용조건하에서의 이들의 특성이 변화 될 수 있는지를 살펴보았다. 그 결과 EPXOY 몰딩하에서도 그 선형성을 잃지 않았으며, 원하는 오차인
${\pm}1%$ 에 부합되는 결과를 나타내었다. -
The salt contamination on the distribution facilities is one of the major concern areas because more than 90% of salt contaminated failure occurs in distribution facilities. The periodic measurement of the Equivalent Salt Deposit Density(ESDD) is essential to manage and operate the power line. The ESDD has taken from the 112 position which is located on the coastline of the Korean Peninsula. The ESDD will be presented with the location and the distance from the coastline.
-
This paper presents the temperature characteristics with the structure of 18 kV surge arresters for distribution system. Three types of polymer arrester were fabricated and a ceramic arrester was also prepared to investigate. Below
$100^{\circ}C$ , three types of polymeric arresters exhibited almost the same leakage current value, but above$100^{\circ}C$ , the polymeric arresters whose module was injected into polymeric housing with the grease exhibited the highest leakage current. In contrary, the arresters being manufactured by directly injecting silicone rubber onto arrester module exhibited the lowest leakage current. The rapid rising of leakage current of the polymeric arresters with the grease at$120^{\circ}C$ was because of the deterioration of the insulation characteristics of the grease between the FRP module and the silicone housing. All polymeric arresters exhibited the same surface temperature characteristics but the ceramic arresters was slower than the polymer arrester in heat emission despite the lowest leakage current. It was thought that the air layer between ZnO varistor blocks and the ceramic housing prevented the heat emission. -
The resistive leakage current is an important parameter for arrester diagnosis. However, the 3rd harmonic leakage current is more widely used than the resistive one because of its easy measurement. In this paper, we studied the correlation between the resistive and the
$3^{rd}$ harmonic leakage current of ZnO arresters. The resistive leakage current was measured according to the IEC 60099-5 in AC applied voltage. The$3^{rd}$ harmonic leakage current was analyzed by using a designed band-pass filter having 180 [Hz]-center frequency and 10 [Hz]-bandwidth. The experimental results show that the$3^{rd}$ harmonic leakage current changes proportionally with the resistive leakage current variation under the maximum continuous operating voltage (MCOV). -
In this paper, to understand the effect of forest fires on polymer insulators for transmission lines, it was observed the aging of the housing surface of the polymer insulators. And, this paper shows the way how to create the artificial field testing in order to simulate forest fire. As the results of, maximum leakage current peaks by influence of flame increased from 1[mA] to 1.4[mA], and SEM results show the inorganic component on the housing surface because the organic component matters disappeared. Therefore, the case of exposed by forest fire, polymer insulator can be used in the early stage, but an exchange needs active countermeasure to be stabilize power delivery.
-
Research was performed to increase the efficiency of a plasma reactor for
$H_2$ yield. In the preceding studies$H_2$ was increased by adding Ni as a transitional metal catalyst and$TiO_2$ as a photocatalyst. In these experiments, it was found that distilled water, discharge frequency, and electrode configuration had a significant impact on$H_2$ generation. A substantial amount of hydrogen yield was observed at 2 kHz of discharge frequency and 12 kV of applied voltage. Within this favorable discharge conditions, the weight rate of$TiO_2$ and Ni powders was investigated. Plasma phenomenon was measured by electrical, optical and acoustical devices. It was found that emitted light, electric current and acoustical signals acquired from the discharge demonstrated systematical correlation. Changing the electrode's configuration allowed discharge distribution along the perimeter of the electrode's tip, which increased the density of streamers and plasma energy loadings, as the value of inception voltage for the discharge propagation decreased. -
In this paper, hybrid air-water discharges were used to develop an optimal condition for providing a high level of water decomposition for hydrogen yield. Electrical and optical phenomena accompanying the discharges were investigated along with feeding gases, flow rates, and point-to-plane electrode gap distance. The primary focus of this experiment was put on the optical emission of the near UV range, with the energy threshold sufficient for water dissociation and excitation. The
$OH(A^{2+},'=0\;X^2,"=0$ ) band's optical emission intensity indicated the presence of plasma chemical reactions involving hydrogen formation. In the gaseous atmosphere saturated with water vapor the OH(A-X) band intensity was relatively high compared to the liquid and transient phases although the optical emission strongly depended on the flow rate and type of feeding gas. In the gaseous phase discharge phenomenon for Ar carrier gas transformed into a gliding arc via the flow rate growth. OH(A-X) band's intensity increased according to the flow rate or residence time of He feeding gas. Reciprocal tendency was acquired for$N_2$ and Ar carrier gases. The peak value of OH(A-X) intensity was observed in the proximity of the water surface, however in the cases of Ar and$N_2$ with 0.5 SLM flow rate peaks shifted to the region below the water surface. Rotational temperature ($T_{rot}$ ) was estimated to be in the range of 900-3600 K, according to the carrier gas and flow rate, which corresponds to the arc-like-streamer discharge. -
본 논문에서는 질소와 이산화탄소를 완충기체로 사용하는 경우의 혼합가스에 대해 가스절연설비 내부의 이물질에 의한 절연파괴특성을 모의하기 위한 침-평판 전극구조에서의 침전극 재질을 연철, 고탄소강, 스테인레스강 등으로 구성한 경우 혼합가스의 절연파괴 특성에서 나타나는 전극 재질에 의한 영향을 실험적으로 검토해 보았다. 실험 결과 연철제 전극과 고탄소강제 전극의경우에는 혼합가스의 절연파괴특성에서 유사한 N-특성이 나타남을 확인할 수 있었으나 스테인레스 강제 전극의 경우에는 다소 상이한 양상이 나타났다.
$SF_6$ 계 혼합가스의 경우에는 순$SF_6$ 의 경우와 동일하게 코로나 안정화작용에 의한 N-특성이 발현됨이 일반적인 특성으로 알려져 있으나 본 실험 결과에 의하면 침전극 재질에 따라 다소 상이한 양상이 나타날 수 있음을 관측하였다. -
One of the electrode in Haenam converter, which is role of rectifier in Jeju-Haenam HVDC system is destroyed and we found many cracks at another electrode and we also found many cracks in Jeju converter station, which is role of inverter of Jeju-Haenam. HVDC system, there is no exact reason for these phenomenon, even though the life cycle of these electrode is 40 years. So, the experts for HVDC system in KEPCO and Anotec corporation, which is manufacturer of electrode, would perform research for the reason of electrode disorder. In this paper analyze the reason of electrode disorder and corrosion in high voltage direct current system.
-
A dissipation factor standard of decade type having the range of 1 to 0.0001 at the frequency of 1 kHz and 10 kHz was fabricated using "T" networks combined R and C components. The values of the fabricated dissipation factor standard were adjusted within 1% of the nominal values at 0.0001 dial range and 0.05% at the others. This dissipation factor standard is used as a working standard for calibration of a impedance measurement meter at KRISS and as a primary standard of dissipation factor field at NML-SIRIM in Malaysia.
-
$Bi_2Sr_2CuO_x$ thin films have been fabricated by atomic layer-by-layer deposition using the ion beam sputtering method. During the deposition, 10 and 90 wt%-ozone/oxygen mixture gas of typical pressure of$1{\sim}9{\times}10^{-5}\;Torr$ are supplied with ultraviolet light irradiation for oxidation. XRD and RHEED investigations reveal out that a buffer layer with some different compositions is formed at the early deposition stage of less than 10 units cell and then Bi-2201 oriented along the c-axis is grown. -
This paper presents the implementation of Real-time data acquisition system for dynamic characteristics of overhead contact wire in electric railway. The reconfigurable field-programmable gate array (FPGA) and LabVIEW graphical development tools have been used to Real-time monitoring system. The results from a field test show that the proposed technique and developed system can be practically applied to measure the assessment quantity or quantities on overhead contact lines for the online real-time process monitoring.
-
In this paper we propose a new model of a mini-pump with peristaltic motion and present the results of the finite element analysis of an electromagnetic micro actuator. The mini-pump consists of three diaphrams made of PDMS, three permanent magnets in cylinders, printed copper coils on glass substrates, and input and output port. The size of the mini-pump is
$14\;{\times}\;40\;{\times}\;5.4$ mm3 and the permanent magnet diameter 6.2 mm$\times$ thickness 2 mm. The electromagnetic force applied on the magnet was about 0.84 N when the current of coils was 1 A, then the maximum displacement of the PDMS diaphram was about 2mm. -
4단자 방법과 van der Pauw 측정방법으로 자성금속(Fe)과 비자성금속(SUS316)에 대한 전기 비저항을 시험한 결과 van der Pauw 방법에 의한 측정결과를 기준값으로 비교하였을 때, 전극 간격이 시료 단위면적 총길이의 3배에 해당하는 위치에서 비저항 값이 일치하였으며, 이를 도전율로 환산하였을 때 전극 간격이 좁을 수 록 도전율은 크게 나타났다.
-
BSCCO thin films have been fabricated by epitaxy growth at an ultra-low growth rate. The growth rates of the films was set in the region from 0.17 to 0.27 nm/min. MgO(100) was used as a substrate. In order to appreciate stable existing region of Bi 2212 phase with temperature and ozone pressure, the substrate temperature was varied between 650 and
$720^{\circ}C$ and the highly condensed ozone gas pressure ($PO_3$ ) in vacuum chamber was varied between$2.0{\times}10^{-6}$ and$2.3{\times}10^{-5}\;Torr$ . -
In this paper, We examine that characteristics of formative electret with polymer film and electric charge storage using grid corona discharge. compound polymer of fluorine resin used for material in electret because of high electric charge accumulation, excellent electrical and physical characteristic. All experiments were carried out with circular samples of
$Teflon^(R)FEP$ film, 12.5[${\mu}m$ ] thick. As experiment variables, we used voltage, electrode thickness, discharge electrode gap, and discharge time. According to this variables, we studied on characteristics of formative electret and electric charge storage. Additionally we make a comparative study of the result between the grid corona discharge and needle electrode discharge. -
This work describes the effect of the number of roll pressing and the composition of carbon black on the electric and mechanical properties of carbon-PTFE electrode, in which composition is MSP 20 : carbon black : PTFE = 95-X : X : 5 wt.%. It was found that the best electric and mechanical properties were obtained for sheet electrode roll pressed about 15 times and for sheet electrode, in which composition is MSP 20 : carbon black : PTFE = 80 : 15 : 5 wt.%. These behaviors could be explained by the network structure of PTFE fibrils and conducting paths linked with carbon blacks, respectively. On the other hand, cell capacitor using the sheet electrode with 15 wt.% of carbon black attached on aluminum current collector with the electric conductive adhesive, in composition is carbon black : CMC = 70 : 30 wt.%, has exhibited the best rate capability between
$0.5mA/cm^2{\sim}100mA/cm^2$ current density and the lowest ESR. -
This paper describes a simple real-time monitoring system for use in measurement subsystem of contact wire and geometry of overhead contact wire in electric railway. The system has been consists of a high speed CMOS camera with resolution
$1024\;{\times}\;1280$ pixels, line type laser source with a power equal to 300 mW, and PC-based image acquisition system with PCI Express slot. National instrument LabVIEW (8.0) and vision acquisition software have been used in application programming interface for image acquisition, display, and storage with a frequency of sampling of 500 acquisitions per second. -
This study was performed to investigate the surface properties of electrochemically oxidized pure titanium by anodic spark discharging method. Commercially pure titanium plates of
$10{\times}20{\times}1[mm]$ in dimensions were polished sequentially emery paper. Anodizing was performed at current density of$76.2\;[mA/cm^2]$ , application voltage of 290, 350, 400 [V] using a regulated DC power supply, which allowed automatic transition constant current when a preset maximum voltage has been reached. The Ti surface oxided films was characterized by scanning electron microscope(SEM). The precipitation of HA(Hydroxyapatite) crystals on anodized surface was greatly accelerated by hydrothermal treatment. The concentrations of DL-$\alpha$ -Glycerolphosphate Magnesiurn(DL-$\alpha$ -GP-Mg) salt and Ca acetate in an electrolyte was highly affected the precipitation of HA crystals converted by Ti Anodized oxide films by Shape of Impulse Voltage. -
In order to realize the commercial application of HTSC materials, it is necessary to develop the fabrication process of high Tc oxide superconductor materials with desired shape and for practical application and high critical current density as well as good mechanical strength which can withstand high lorenz force generated at high magnetic field. Much studies have been concentrated to develop the fabrication technique for high critical current density but still there are a lot of gap which should be overcome for large scale application of HTSC materials at liquid nitrogen temperature. Recently some new fabrication techniques have been developed for YBaCuO bulk superconductor with high mechanical strength and critical current density. In this project, the establishment of fabrication condition and additive effects of second elements were examined so as to improve the related properties to the practical use of YBaCuO superconductor, and we reported the production of the YBaCuO high Tc superconductor by the pyrolysis method.
-
This study was attended to demonstrate synthesis of silver nanoparticles stabilized with polymer and their applicability to printed electronics. Silver nanoparticles were synthesized by reduction of silver nitrate in aqueous solution in the presence of polyvinyl pyrrolidone (PVP) as a stabilizer. The ink used here is composed of 50 wt% Ag NP, 15 wt% humectant and then were printed on polyimide film. Particle deposit morphologies were controlled by varying the ink compositions. Printed silver patterns and dots were cured on a convection oven in air at
$300^{\circ}C$ for 60 min. The printed patterns show good shape definition and the resistivity of the printed films is about$5{\mu}{\Omega}{\cdot}cm$ . -
Carbon films was grown on Si substrates using the method of electrolysis for methanol liquid. Deposition parameters for the growth of the carbon films were current density for the electrolysis. methanol liquid temperature and electrode spacing between anode and cathode. We examined electrical resistance and the surface morphology of carbon films formed under various conditions specified by deposition parameters. It was clarified that the high electrical resistance carbon films with smooth surface morphology are grown when a distance between the electrodes was relatively wider. We found that the electrical resistance in the films was independent of both current density and methanol liquid temperature for electrolysis. The temperature dependence of the electrical resistance in the low resistance carbon films was different from one obtained in graphite.
-
The mechanical properties of micro-hardness and internal stress of Ni-Fe alloy thin film made by electrodeposition method have been measured as a function of bath composition and current density. And also the microstructure of
$200{\AA}$ Ni-Fi nanowires made using anodic aluminum oxide(AAO) templates by electrodeposition method have been observed by SEM as a function of ultrasonic treatment time and bath composition. -
Inkjet Printing is very attractive method for direct patterns with no masks, In order to Achieve direct printing with nano metal, It is often necessary to print them with highly concentrated Ink We research the High Concentrated silver nano ink. Formulation which has a good thermal stability and storage stability and jet stability using a ethylene glycol ether. Normally Alcohol-based inks can be sensitive But High boiling point ethylene glycol ether base Ink is creating a stable meniscus and minimum maintenance issues. We are reaching a 50~60wt% high Silver Ink using a Hydrophilic Ag Nano powder. (30~50nm)
-
The purpose of this study was to investigate the root cause of adhesion of silica and ceria particles during Poly-Si, TEOS, and SiN CMP process, respectively. The zeta-potentials of abrasive particles and wafers were observed negative surface charges in the alkaline solutions. SAC and STI patterned wafers have intermediate values of their composition surface's zeta potentials. The theoretical interaction force and adhesion force of silica and ceria particle were calculated in solution with acidic, neutral and alkaline pH. A stronger attractive force was calculated for silica and ceria particles on wafers in acidic solutions than in alkaline solutions. The theoretical interaction forces of the SAC and STI patterned wafers have intermediate values of their constitution wafer's values. The adhesion forces is observed lower values in alkaline solutions than in acidic solutions. And the ceria particle has lower adhesion than that of the silica particle.
-
Recently, CMP (Chemical Mechanical Polishing) is one of very important processing in semiconductor technology because of large integration and application of design role. CMP is a planarization process of wafer surface using the chemical and mechanical reactions. One of the most important components of the CMP system is the polishing pad. During the CMP process, the pad itself becomes smoother and glazing. Therefore it is necessary to have a pad conditioning process to refresh the pad surface, to remove slurry debris and to supply the fresh slurry on the surface. A diamond disk use during the pad conditioning. There are diamonds on the surface of diamond disk to remove slurry debris and to polish pad surface slightly, so density, shape and size of diamond are very important factors. In. this study, we characterized diamond disk with 9 kinds of sample.
-
Slurry used in metal chemical mechanical polishing normally consists of an oxidizer, a complexing agent, a corrosion inhibitor and an abrasive. This paper investigates effects of citric acid as a complexing agent for Cu CMP with
$H_2O_2$ as an oxidizer. In order to study chemical effects of a citric acid, x-ray photoelectron spectroscopy were performed on Cu sample after Cu etching test. XPS results reveal that CuO,$Cu(OH)_2$ layer decrease but Cu/$Cu_2O$ layer increase on Cu sample surface. To investigate nanomechanical properties of Cu sample surface, nanoindentation was performed on Cu sample. Results of nanoindentation indicate wear resistance of Cu Surface decrease. According to decrease of wear resistance on Cu surface, removal rate increases from$285\;{\AA}/min$ to$8645\;{\AA}/min$ in Cu CMP. -
To investigate the recycle possibility of slurry for the oxide-chemical mechanical polishing (oxide-CMP) application, three kinds of retreated methods were introduced as follows: First, the effects on the addition of silica abrasives and the diluted silica slurry (DSS) on CMP performances were investigated. Second, the characteristics of mixed abrasive slurry (MAS) using non-annealed and annealed alumina (
$Al_2O_3$ ) powder as an abrasive added within DSS were evaluated to achieve the improvement of removal rates (RRs) and within-wafer non-uniformity (WIWNU%). Third, the oxide-CMP wastewater was examined in order to evaluate the possible ways of reusing it. And then, we have discussed the CMP characteristics of silica slurry retreated by mixing of original slurry and used slurry (MOS). -
The conditioning process is very important process for the CMP (Chemical Mechaning Polishing). This process regenerates the roughness of the polishing pad during the CMP process, increases the MRR (Material Removal Rate) and gives us longer pad life so conditioning process is essential for the CMP, and conditioning process influences the polishing pad shape gradually. Conditining process is related to the Non-Uniformity. In This paper, Kinematic of the conditioning process and mathematic modeling of the pad wear is studied and result shows how the various parameters influence the pad shape and WIWNU[1]. Consequently through these parameter, optimal design of the conditioning process equipment is predicted.
-
Tungsten CMP needs interconnect of semiconductor device ULSI chip and metal plug formation, CMP technology is essential indispensable method for local planarization. This Slurry development also for tungsten CMP is important, slurry of metal wiring material that is used present is depending real condition abroad. It is target that this research makes slurry of efficiency that overmatch slurry that is such than existing because focus and use colloidal silica by abrasive particle to internal production technology development. Compared selectivity of slurry that is developed with competitor slurry using 8" tungsten wafer and 8" oxide wafer in this experiment. And removal rate measures about density change of
$H_2O_2$ and Fe particle. Also, corrosion potential and current density measure about Fe ion and Fe particle. As a result, selectivity find 83:1, and expressed similar removal rate and corrosion potential and current density value comparing with competitor slurry. -
The planarization technology of Chemical-mechanical polishing(CMP), used for the manufacturing of multi-layer various material interconnects for Large-scale Integrated Circuits (LSI), is also readily adaptable as an enabling technology in MicroElectroMechanical System (MEMS) fabrication, particularly polysilicon surface micromachining. However, general LSI device CMP has partly distinction aspects, the pattern scale and material sorts in comparison with MEMS CMP. This study performed preliminary CMP tests to identify slurry characteristic used in general IC device. The experiment result is possible to verify slurry characteristic in MEMS structure material.