Proceedings of the Materials Research Society of Korea Conference (한국재료학회:학술대회논문집)
Materials Research Society of Korea
- Semi Annual
2003.11a
-
에디슨 전구가 발명된 지 1 세기가 지난 지금, 반도체 기술에 의해 또 다른 빛의 혁명이 시작되고있다. 고출력 백색 LED를 이용한 반도체 조명이 그 주역이다. 종래의 단순 표시기에서 사용되었던 저휘도 LED가 이제는 빛의 3원색인 적색, 녹색 및 청색뿐만 아니라, 모든 가시광선의 영역과 나아가서는 자외선 영 역까지 고출력 LED 구현이 가능하게 되었고, 또한 빛의 3원색을 결합시킨 백색 LED의 광효율이 획기적으로 증가함에 따라 차세대 조명기기에의 응용이 눈앞에 다가오고 있는 실정이다. 이와 같은 기술의 변화의 파라다임은 과거 진공관 시대가 트랜지스터 시대로 변모되었고, 현재 CRT 모니터 시대에서 LCD 모니터 시대로 급변하는 것과 같이, 미래에는 백열전구 시대에서 LED 반도체 조명 시대로 바뀌게 될 것을 기대 할 수가 있을 것이다. LED 반도체 조명은 무엇보다도 기존의 조명기기보다 전력 소모가 매우 적고 10년 이상의 수명을 갖고 있어서 유지보수 측면에서의 효용성, 내구성과 견고성과 더불어 다양한 직접화 및 디자인 등의 많은 장점을 갖고 있어서 빛이 필요한 모든 다양한 분야에서 사용이 가능하기 때문에 그 중요성이 새삼 강조되고 있다. 따라서 현 정부에서는 차세대 성장 동력 산업 38개 사업 중 LED 사업을 그 중 하나의 산업으로 지정만 바가 있다. 본 논문에서는 LED의 개발 역사와 선진국들의 고휘도 및 고출력 LED 신기술 동향을 고찰하며, 시장의 다양한 응용의 예와 LED 반도체 조명을 구현하기 위해 극복해야 할 기술들을 전체적으로 분석하여 제시하고자 한다.
-
O. H. Nam;K. H. Ha;J. S. Kwak;Lee, S.N.;Park, K.K.;T. H. Chang;S. H. Chae;Lee, W.S.;Y. J. Sung;Paek H.S.;Chae J.H.;Sakong T.;Kim, Y.;Park, Y. 20
We developed 30 ㎽-AlInGaN based violet laser diodes. The fabrication procedures of the laser diodes are described as follows. Firstly, GaN layers having very low defect density were grown on sapphire substrates by lateral epitaxial overgrowth method. The typical dislocation density was about 1-3$\times$ 10$^{6}$ /$\textrm{cm}^2$ at the wing region. Secondly, AlInGaN laser structures were grown on LEO-GaN/sapphire substrates by MOCVD. UV activation method, instead of conventional annealing, was conducted to achieve good p-type conduction. Thirdly, ridge stripe laser structures were fabricated. The cavity mirrors were formed by cleaving method. Three pairs of SiO$_2$ and TiO$_2$ layers were deposited on the rear facet for mirror coating. Lastly, laser diode chips were mounted on AlN submount wafers by epi-down bonding method. The lifetime of the laser diodes was over 10,000 hrs at room temperature under automatic power controlled condition. We expect the performance of the LDs to be improved by the optimization of the growth and fabrication process. The detailed characteristics and important issues of the laser diodes will be discussed at the conference. -
To increase the light-emission efficiency of LED, we increased the internal and external quantum efficiency by suppressing the defect formation in the quantum well and by increasing the light extraction efficiency in LED, respectively. First, the internal quantum efficiency was improved by investigating the effect of a low temperature (LT) grown p-GaN layer on the In
$\sub$ 0.25/GaN/GaN MQW in green LED. The properties of p-GaN was optimized at a low growth temperature of 900oC. A green LED using the optimized LT p-type GaN clearly showed the elimination of blue-shift which is originated by the MQW damage due to the high temperature growth process. This result was attributed to the suppression of indium inter-diffusion in MQW layer as evidenced by XRD and HR-TEM analysis. Secondly, we improved the light-extraction efficiency of LED. In spite of high internal quantum efficiency of GaN-based LED, the external quantum efficiency is still low due to the total internal reflection of the light at the semiconductor-air interface. To improve the probability of escaping the photons outside from the LED structure, we fabricated nano-sized cavities on a p-GaN surface utilizing Pt self-assembled metal clusters as an etch mask. Electroluminescence measurement showed that the relative optical output power was increased up to 80% compared to that of LED without nano-sized cavities. I-V measurement also showed that the electrical performance was improved. The enhanced LED performance was attributed to the enhancement of light escaping probability and the decrease of resistance due to the increase in contact area. -
Studies on the optical properties related to the built-in internal field and the carrier localization present in various GaN-based structures are essential not only for the physical interest but in designing practical visible and ultraviolet light emitting device applications with better performance and quantum efficiency. We report on the optical characteristics of various dimensional GaN-based structures such as (i) GaN self-assembled quantum dots grown in Stranski-Krastanov mode (OD), vertically-aligned GaN nanorods (1D), graded-In-content InGaN quantum wells (2D), laterally-overgrown GaN pyramids (3D), and GaN epilayers grown on various substrates. We used a wide variety of optical techniques, such as photoluminescence (PL), PL excitation, micro-PL, cathodoluminescence, optically-pumped stimulated emission, and time-resolved PL spectroscopy. An overview and comparison of the optical characteristics of the above GaN-based structures will be given.
-
GaN-related semiconductors are of great technological importance for the fabrication of optoelectronic devices, such as blue and ultra violet light emitting diodes (LEDs), laser diodes, and photo-detectors. One of the most important applications of GaN-based LEDs is solid-state lighting, which could replace incandescent bulbs and ultimately fluorescent lamps. For solid-state lighting applications, the achievement of high extraction efficiency in LED structures is essential. For flip-chip LEDs (FCLEDS), the formation of low resistance and high reflective p-GaN contact is crucial. So far, a wide variety of different methods have been employed to improve the ohmic properties of p-type contacts to GaN. For example, surface treatments using different chemical solutions have been successfully used to produce high-quality ohmic contacts, Metallization schemes, such as Ta/Ti contacts to p-GaN, were also investigated. For these contacts, the removal of hydrogen atoms from the Mg atoms doped n the GaN was argued to be responsible for low contact resistances.
-
Research activity in the III-V nitrides materials system has increased markedly in the past several years ever since high-brightness blue light-emitting diodes (LEDs) became commercially available. Despite of excellent optical properties of the GaN, however, inherently poor thermal property of the sapphire used as a substrate material n these devices may lead to thermal degradation of devices, especially during their high power operation. Therefore, dependable thermal analysis and packaging schemes of GaN-based LEDs are necessary for solid lighting applications under high power operation. In this paper, emphasis will be placed upon thermal design of GaN-based LEDs. Thermal measurements of LEDs on chip and packaging scale were performed using the liquid crystal thermographic technology and micro thermocouples for different bias conditions. By a series of optical arrangement, hot spots with specific transition temperatures were obtained with increasing input power. Thermal design of LEDS was made using the finite element method and analytical unit temperature profile approach with optimal boundary conditions. The experimental results were compared to the simulated data and the results agree well enough for the establishment of dependable prediction of thermal behavior in these devices. The paper will present a more detailed understanding of the thermal analysis of the GaN-based blue and white LEDs for high power applications.
-
안정화 지르코니아(Stabilized Zirconia)는 산화물 연료전지 (SOFC: Solid Oxide Fuel Cell), 전기화학식 가스센서 등에 널리 사용되고 있는 대표적인 고체전해질이다. SOFC의 효율향상 및 센서의 저온 동작을 위해서는 높은 이온전도도를 갖는 고체전해질이 요구된다. 안정화 지르코니아의 이온 전도도를 향상시키기 위해 MgO, CaO,
$Y_2$ O$_3$ , Yb$_2$ O$_3$ , Sc$_2$ O$_3$ 등의 안정화제가 첨가된 바 있으며, 이들 첨가제의 변화에 의한 전기전도도 향상 연구는 현재 성숙된 단계이다. 지르코니아 고체전해질의 안정화제가 정해진 상태에서 재료의 전기전도도를 향상시키는 다른 방법은 입계에서의 이온전도도를 높이는 것이다. 안정화 지르코니아는 입계가 입내에 비해 저항이 약 100-10000배 정도크기 때문에, 입계가 얇은 두께에도 불구하고 전해질의 저항에서 큰 역할을 한다고 알려져 있다. 일반적으로 입계의 Si-포함상 편석, 입계액상, 공간전하층등이 입계의 저항에 대한 원인으로 받아 들여 지고 있다. -
Lithium storage electrodes for rechargeable batteries require mixed electronic-ionic conduction at the particle scale in order to deliver desired energy density and power density characteristics at the device level. Recently, lithium transition metal phosphates of olivine and Nasicon structure type have become of great interest as storage cathodes for rechargeable lithium batteries due to their high energy density, low raw materials cost, environmental friendliness, and safety. However, the transport properties of this family of compounds, and especially the electronic conductivity, have not generally been adequate for practical applications. Recent work in the model olivine LiFePO
$_4$ , showed that control of cation stoichiometry and aliovalent doping results in electronic conductivity exceeding 10$^{-2}$ S/cm, in contrast to ~10$^{-9}$ S/cm for high purity undoped LiFePO$_4$ . The increase in conductivity combined with particle size refinement upon doping allows current rates of >6 A/g to be utilized while retaining a majority of the ion storage capacity. These properties are of much practical interest for high power applications such as hybrid electric vehicles. The defect mechanism controlling electronic conductivity, and understanding of the microscopic mechanism of lithiation and delithiation obtained from combined electrochemical and microanalytical techniques, will be discussed -
최근 탄소나노튜브를 전계방출 표시소자(FED, field omission display)용 에미터 재료로 사용한 캐소드 개발에 대한 연구가 활발히 진행되고 있다. 캐소드전극으로는 투명전도성 반도체 박막인 ITO를 사용하고, 에미터용 재료로는 탄소나노튜브를 사용해서 스크린 인쇄법으로 2극(diode type)형 전계방출 소자용 캐소드를 제작하였다. 본딩재(bonding materials)의 종류와 공정변수를 달리해서 에미터용 탄소나노튜브와 ITO 캐소드 전극 사이의 전기적 접촉방법을 변화시켰을때 탄소나노튜브 캐소드의 전계방출 특성을 체계적으로 연구하였다. 첫째로, 본딩재의 전기전도성 (electrical conductivity)을 변수로 해서 탄소나노튜브 에미터의 전계강화(fold enhancement) 효과를 연구한 결과 본딩재의 구성 성분중 부도체(insulator)의 분율이 높을수록 전계강화 효과가 크게 나타남을 확인하였다. 두 번째로, ITO박막 캐소드전극과 탄소나노튜브 잉크 사이에 중간층(inter layer)을 형성시켜서 중간층이 전계방출 특성에 미치는 영향을 연구하여, 중간층의 존재가 탄소나노튜브의 전계방출 전류의 균일성과 전류밀도의 증가에 기여하는 것을 확인하였다. 본 연구의 결과 전계방출 전류가 안정적이면서 동시에 전계방출 효율이 크게 개선된 탄소나노튜브 캐소드를 제작하는 공정기술이 개발되었다. 개발된 기술은 기존의 방법에 비해서 탄소나노튜브 캐소드의 진공패키징시 아웃개싱(outgassing)의 양도 현격하게 작았으며, 에미터와 캐소드 전극 사이의 본딩력(adhesion)도 우수해서 항후 탄소나노튜브 전계방출 표시소자의 개발에 크게 기여할 것으로 판단된다.luminum 첨가량이 증가함에 따라 세라믹 수율도 증가하였음을 확인하였다. 합성된 aluminum-contained polycarbosilane은 20
$0^{\circ}C$ 에서 1시간 동안 불융화과정을 거쳐 환원 및 진공 분위기에서 고온 열처리하였으며 이로부터 얻어진 시료에 대해 XRD분석을 수행하였다. SEM과 TEM을 이용하여 미세구조를 관찰하였다./100 duty로 구동하였으며, duty비 증가에 따라 pulse의 on-time을 고정하고 frequency를 변화시켰다. dc까지 duty비가 증가됨에 따라 방출전류의 양이 선형적으로 증가하였다. 전압을 일정하게 고정시키고 각 duty비에서 시간에 따라 방출전류를 측정한 결과 duty비가 높을수록 방출전류가 시간에 따라 급격히 감소하였다. 각 duty비에서 방출전류의 양이 1/2로 감소하는 시점을 에미터의 수명으로 볼 때 duty비 대 에미터 수명관계를 구해 높은 duty비에서 전계방출을 시킴으로써 실제의 구동조건인 낮은 duty비에서의 수명을 단시간에 예측할 수 있었다. 단속적으로 일어난 것으로 생각된다.리 폐 관류는 정맥주입 방법에 비해 고농도의 cisplatin 투여로 인한 다른 장기에서의 농도 증가 없이 폐 조직에 약 50배 정도의 고농도 cisplatin을 투여할 수 있었으며, 또한 분리 폐 관류 시 cisplatin에 의한 직접적 폐 독성은 발견되지 않았다이 낮았으나 통계학적 의의는 없었다[10.0%(4/40) : 8.2%(20/244), p>0.05]. 결론: 비디오흉강경술에서 재발을 낮추기 위해 수술시 폐야 전체를 관찰하여 존재하는 폐기포를 놓치지 않는 것이 중요하며, 폐기포를 확인하지 못한 -
-
최근 각종 산업 기술의 발달과 함께 다량의 악취, 오염 물질이 심각한 사회문제로 대두되고 있다. 특히 산업 현장의 경우, 악취로 인해 작업 환경이 매우 열악하여 환경 설비의 개발이 절실히 요구되고 있다. 본 연구에서는 기존의 스크러버 집진 장치의 친수성 가스를 제거할 수 있는 장점을 유지하면서, 소수성 기체의 제거율이 낮은 단점을 보완할 수 있는 TiO
$_2$ 나노 입자의 광분해 특성을 이용한 스크러버 집진 장치를 제작하였다. TiO$_2$ 광촉매 나노 입자는 실험실에서 합성한 QT를 비롯하여, 국내외에서 생산된 P-25, NT-20, ST-01로 특성 분석을 실시하였다. 광촉매 나노 입자의 특성 분석에는 X선 회절 분석법, 적외선 분광기, 제타 전위 분석기, 동적 광산란 분광기를 사용하였으며, 그 결과 ST-01이 본 실험에 가장 적합한 광촉매로 선정되었다. 악취 및 대기 오염 물질 선정은 염색 가공 공장에서 정련제, 산화 환원제등으로 많이 사용하고 있는 암모니아, 아세트산, 옥탄올, 휘발성 유기물질인 톨루엔, 벤젠으로 하여, 실험실 자체 제작한 batch type과 산업 현장에서 사용할 수 있는 continuous type의 스크러버 이용하여 실험하였다. 이들 물질의 제거율은 가스 크로마토그래피, 자외선/가시광선 분광기를 이용하여 조사하였으며, 친수성 기체인 암모니아와 아세트산의 경우는 기존의 스크러버 효율의 약 70%인 반면에, 광촉매를 이용한 스크러버의 경우 90%이상의 우수한 제거 효과를 보였다. 또한, 기존의 스크러버가 거의 분해를 할 수 없었던 소수성 기체 옥탄올, 톨루엔, 벤젠의 경우는 기존의 스크러버가 약 10%내외를 제거하는 것에 비해, 광촉매를 이용한 스크러버의 경우 약 80% 이상의 제거 효율을 나타내었다. 결과적으로 광촉매를 이용한 스크러버의 제거효율이 기존의 스크러버에 비해, 친수성 가스의 경우 20% 이상, 소수성 가스의 경우70% 이상 향상되었음을 알 수 있었으며, 본 연구를 통해 광분해를 이용한 스크러버가 기존설비의 장.단점을 충분히 보완 가능한 환경 설비임을 확인할 수 있었다. -
최근 환경오염에 대한 문제가 심각해지면서 인체에 유해한 유기물질의 분해에 대한 관심이 높아지고 있으며 따라서 뛰어난 광촉매 특성을 보이는 타이태니아(TiO
$_2$ )에 대한 많은 연구가 수행되고있다. 타이태니아가 보이는 결정상 중에는 brookite, anatse, rutile이 있는데 이 중에서 anatse 상이 가장 우수한 광촉매 특성을 나타내는 것으로 알려져 있다. 그러나 anatse 상을 얻기 위해서는$600^{\circ}C$ 이상의 온도로 열처리를 해야 한다는 문제점이 있으며 광촉매 특성을 향상시키기 위해서는 anantase 나노결정을 제조하여 표면적을 극대화시 키는 것이 요구되고 있다. 본 연구에서는 이러 한 두 가지 문제점을 해결하기 위하여 Polyethylen oxide(PEO)-TiO$_2$ 나노하이브리드를 Sol-Gel공정을 이용하여 합성하였으며 이들에 대한 hot-water treatment를 통하여 10$0^{\circ}C$ 이하의 저온에서 anatase 나노결정상의 분말을 합성하는데 성공하였다. 이는 SiO$_2$ -TiO$_2$ 계 하이브리드에서만 hot-water treatment를 통하여 anatase로 결정화가 가능하다는 기존의 연구결과와 상반되는 결과로서 무기질 성분으로서 TiO$_2$ 만 존재하는 하이브리드에 대한 hoi-water treatment를 통하여 anatase결정상이 형성될 수 있었다. -
산업의 발달로 인하여 자원재활용의 문제와 환경 오염 방지와 더불어 에너지 절약에 대한 중요성이 확대되면서 분리 고정을 이용한 환경 오염 방지 및 에너지 절약에 대한 관심이 확대되었다. 세라믹 필터는 열악한 환경에서의 적용 그리고 에너지 소모가 적은 장점을 가지고 있다. 여러종류의 재료들 중에서 기공의 제어가 용이한 알루미나 여과막은 보에하미트(
${\gamma}$ -AlOOH) 분말과 금속 알콕사이드(ATSB, aluminum tri-sec butoxide)를 사용하여 간단하게 제조할 수 있다. 알루미나는 열처리 론도에 따라$\alpha$ -A1$_2$ O$_3$ 결정상 뿐만 아니라 몇 가지 준안정한 결정구조를 가진다. 보에마이트(${\gamma}$ -AlOOH)는 45$0^{\circ}C$ 근처에서 미세한 기공을 가진${\gamma}$ -A1$_2$ O$_3$ 로 상전이 되는 것으로 알려져 있고, 120$0^{\circ}C$ 보다 낮은 온도에서 열처리하게 되면 미세구조의 변화는 일어나지 않고 약간의 입자 성장만을 수반하는$\delta$ -A1$_2$ O$_3$ 또는$\theta$ -A1$_2$ O$_3$ 으로 상전이가 일어난다.$\alpha$ -A1$_2$ O$_3$ 로의 상전이는 기공 크기의 변화와 vermicular구조를 가지는 비정상적인 입자성장을 수반하게 된다. 여과막은 기공크기와 분포를 제어하는 것이 매우 중요하므로, 상전이와 미세구조의 변화를 이해하는 것은 중요하다. -
-
탄소-탄소 복합체는 가벼우면서 고온에서도 강도의 저하가 적은 특성을 가지고 있어 터빈 블레이드 소재, 우주왕복선의 내열타일 등 광범위 한 고온재료로 응용이 이루어지고 있거나 기대되는 소재이다. 그러나 고온 산화분위기에서 쉽게 산화되는 단점이 있어 이러 한 산화특성을 향상시키는 방법으로 SiC, Si
$_3$ N$_4$ 등 내산화 저항성이 우수한 재료를 탄소-탄소 복합체 위에 코팅하는 연구가 행해지고 있다. 하지만 이들 코팅층과 탄소-탄소 복합체간의 열팽창계수 차이에 의한 열응력 발생으로 코팅층에 균열이 발생한다. 따라서 탄소-탄소 복합체와 코팅층간의 열응력을 최소화하여 균열 발생을 억제하기 위해 기능경사재료 (Functionally Graded Material, FGM)를 중간층으로 도입하는 방법이 최근 활발히 연구되고 있다. FGM 중간충의 형성방법 중 화학기상증착법 (CVD)은 증착물의 조성이나 미세구조 조절이 용이한 방법으로 알려져 있어 최근 CVD법에 의한 FGM층의 형성에 많은 연구가 진행되고 있지만, 지금까지 CVD법을 이용한C/SiC FGM 중간충의 형성 연구결과에서는 모든 조성비의 C/SiC층의 증착과 치밀한 구조를 지닌 증착층을 얻기가 어려워 체계적인 연구의 진행이 어려웠다. -
최근 나노기술의 발달과 더불어 나노재료에 대한 특성평가 요구가 높아지고 있고, 따라서 나노스케일로 재료의 기계적 거동을 분석할 수 있는 나노인덴테이션 기법이 심도있게 연구되고 있다. 본 연구에서는 나노인덴테이션을 이용하여 여러 가지 재료의 탄성 소성 변형 거동을 관찰 조사하고 이를 다시 유한요소법(FEM)으로 모사하여 해석하였다. 나노인덴테이션으로 재료 표면에 압입하여 탄소성 변형을 일으켰으며 이때의 가하중과 변형깊이를 측정하여 하중-변형 곡선을 얻었다. 매우 작은 접촉응력 조건하에서는 탄성변형의 비율이 매우 높았는데 하중-변형 곡선으로부터 재료의 나노 경도와 탄성 계수값을 얻을 수 있었다. 실험적으로 얻은 하중-변형 곡선을 3 차원의 유한요소법(FEM)을 이용하여 모사하였는데 상호간에 매우 근접한 결과를 얻을 수 있었다. 이 때 압자의 모양, 압입 깊이, 재료의 종류, 둥을 변수로 하여 여러 가지 조건하에서 압입실험을 하였으며 그 결과를 유한요소법으로 모사하였다.
-
Molecular dynamics (MD) simulation was performed to study the stress induced grain boundary migration caused by the interaction of dislocations with a gain boundary. The simulation was carried out in a Ni block (295020 atoms) with a ∑ = 5 (210) grain boundary and an embedded atom potential for Ni was used for the MD calculation. Stress was provided by indenting a diamond indenter and the interaction between Ni surface and diamond indenter was assumed to have a fully repulsive force to emulate a faction free surface. Results showed that the indentation nucleated perfect dislocations and the dislocations produced stacking faults in the form of a parallelepiped tube. The parallelepiped tube consisted of two pairs of parallel dislocations with Shockley partials and was produced successively during the penetration of the indenter. The dislocations propagated along the parallelepiped slip planes and fully merged onto the ∑ = 5 (210) grain boundary without emitting a dislocation on the other grain. The interaction of the dislocations with the grain boundary induced the migration of the grain boundary plane in the direction normal to the boundary plane and the migration continued as long as the dislocations merged onto the grain boundary plane. The detailed mechanism of the conservative motion of atoms at the gram boundary was associated with the geometric feature of the ∑ = 5 (210) grain boundary.
-
최근 나노기술의 발달과 더불어 나노재료에 대한 특성평가 요구가 높아지고 있고, 따라서 나노스케일에서 재료의 기계적 거동을 분석할 수 있는 나노인덴테이션 기법이 심도있게 연구되고 있다. 본 연구에서는 나노인덴테이션, 주사탐침현미경(SPM), 투과전자현미경(TEM) 기법을 이용하여 여러가지 재료의 탄성 소성 변형 거동과 팝인/괍아웃 현상을 조사하고 해석하였다. 나노인덴테이션 기법으로는 50 마이크로뉴턴 (5 mg) 이하의 매우 작은 하중 하에서는 접촉 응력조건이라도 인장시험에서 관찰되는 영구변형이 제로인 완전탄성 변형 거동을 관찰할 수 있었다. 또한, 50-250 마이크로 뉴턴의 하중 범위에서 재료는 탄성변형 이후에 갑작스런 항복거동과 더불어 수십-수백 나노미터를 미끌어지듯 변형하는 팝인(pop-in), 또는 탈선(excursion) 현상을 관찰할 수 있었다. 이 현상은 하중을 가하는 동안에 여러 번 발생하였으며 재료의 표면상태와 전위밀도와 밀접한 상관관계를 보였다. 반복 압입 시험에서는 전형적인 가공경화 현상으로 항복점이 높아지고 새로운 항복점 이후에야 다시 팝인 발생함을 보였다. 한편, 하중을 가할 때 발생하는 팝인과는 달리 하중을 제거할 때 급격히 회복하는 팝아웃 현상 또한 관찰되었다.
-
두개의 다른 고상이 동시에 액상으로부터 응고되는 공정합금에 일방향응고를 적용함에 따라 응고방향으로 규칙적으로 잘 배열된 복합재료를 만들 수 있다. 이렇게 방향성을 가지고 응고된 공정조직은 여러 가지 기계적, 전기적, 자기적 특수분야에 이용될 수 있다. 그런데 공정조직의 상간격은 재료의 기계적, 물리적 성질과 밀접한 관계를 가지며 응고속도, 온도기울기와 같은 일방향응고의 변수에 따라 결정된다. 그래서 본 연구에서는 금속합금계와 유사한 섬유상 공정조직을 보이는 NaNO
$_3$ -NaCl계를 이용하여 공정조직의 미세구조와 일방향응고 변수와의 관계를 조사하였다. 또한 액상으로부터 시간에 따른 냉각곡선으로부터 공정온도와 공정조성부근의 부분상태도를 구하였다. 그리고 응고녹도(V)에 따른 섬유상간격(λ$_{E}$ )의 실험적 관계식을 두가지의 온도기울기에 대하여 구하여 금속재료의 결과식과 비교, 검토하였다. -
WC/Co계 초경 합금은 각종 공구 및 내마모 부품으로서 널리 사용되고 있다. 초경 합금의 특성은 WC 분말 크기에 크게 좌우되며 일반적으로 입자의 크기가 미세해 질수록 기계적 특성이 향상되는 것으로 알려지고 있다. 이를 위하여 지금까지 많은 연구가 진행되고 있으나, 현재 액상 공정을 이용하여 약 100nm급의 초경 분말이 개발되어지고 있으며, 그보다 미세한 분말의 제조는 아직 이루어지고 있지 않은 실정이다. 그러나 최근 기상 반응을 이용하여 수nm급의 분말 제조가 가능하게 되었으나, 주로 산화물계에 분말 제조에 국한되고 있다. 본 연구에서는 이러한 기상 반응법을 이용하여 초경 합금의 핵심 소재인 WC분말을 합성하고자 하였으며, 이를 위하여 장치의 설계 및 제작, 그리고 공정별 특성에 대하여 연구하였다.
-
Scandium을 소량 첨가한 Al합금은 용체화 처리 후 시효에 의해 강화되며, 합금의 주 강화상은 Ll2 type의 규칙구조를 갖는 A1
$_3$ Sc상으로 열처리시 아주 미세한 정합의 구형입자로 석출한다. Scandium은 Al합금에서 첨가원소의 at%에 따른 경량화 효과가 Gold 다음으로 크다. 현재까지의 Al-Sc계 합금에 대한 연구는 시효경화에 따른 기계적 특성 변화에 대해서만 이루어져 왔으나 본 연구에서는 투과전자현미경을 이용하여 열처리에 따른 미세조직의 변화, 급냉 상태에서 생성된 A13Sc입자의 형성 및 계면구조, 시효에 따른 석출거동을 규명하였다. 실험에 사용된 alloy는 미국의 Ashurst 사에서 제조된 Al-2wt%Sc모합금과 순도 99.9%의 Al을 혼합하여 Arc melting법으로 제조하였다. Primary A13Sc상은 Ll2 type으로 응고시에 용융상태에서 먼저 핵생성되어 Al의 핵생성 site로 작용한다. 635$^{\circ}C$ 에서 용체화 처리한 시편에서는 수백 nm 크기를 갖는$Al_3$ Sc상이 계면과 matrix내에 구형으로 존재함을 확인하였다. 수백 nm 크기의$Al_3$ Sc상의 내부에는 역위상 경계(Antiphase boundary)이 존재로 인한 특징적인 contrast가 관찰되었으며, 이$Al_3$ Sc상은 응고시 생성된 작은$Al_3$ Sc상들이 모여져 생성된 것으로 추측된다. 수백 nm 크기 의$Al_3$ Sc사와 Al matrix 사이의 계면에는 격자상수 차이에 의한 많은 edge dislocation들이 관찰된다. -
409L 페라이트강은 스테인레스강내 Ti을 첨가함으로써 내식성이 우수한 자동차 배기계 부품용으로 개발된 강이다. 그러나 Ti 첨가시 용존산소 및 질소와의 반응 생성물에 의해 용강의 주조성, 표면품질, 등축정율 저하등 조업 및 품질문제를 발생시킨다. 한편 용강의 응고시 생성되는 미세 질화물은 주편의 등축정율을 높혀, 주편의 가공성 및 최종제품의 품질을 향상시키는 것으로 알려져있다. 따라서 스테인레스 용강중 Ti의 반응 생성물 제어는 매우 중요하며, 이를 위해서는 고 Cr 함유 스테인레스 용강내 Ti의 열역학적 거동과 타 원소와의 상호작용계수를 측정하여야 한다.
-
고크롬강은 산업용 발전설비의 효율적인 열교환을 위해 수천개의 튜브로 구성된 보일러에 적용되고 있다. 이러한 보일러 튜브는 고온에 노출되어 있으며, 튜브 내면에는 고온의 증기가 고압으로 존재하고 있다. 따라서 보일러 튜브는 장기간 고온의 환경에서 사용되기 때문에 고온강도와 고온내산화 및 내부식 특성이 요구된다. 보일러 튜브의 열화는 이세조직 변화에 따른 고온강도의 저하를 재료내부의 열화와 고온산화 및 부식 등 외부환경에 의 한 열화로 크게 두 가지로 대별된다. 이러한 보일러 튜브의 수명평가는 튜브의 수명을 미리 예측하여 적절한 시점에 교체함으로서 운전 중 손상에 따른 발전정지 등을 방지하여 막대한 비용을 절감할 수 있기 때문에 현장에서는 중요한 의미를 갖는다. 본 연구에서는 보일러 튜브의 여러 가지 수명평가 방법 중 현재 산업용 발전설비의 보일러에 적용되고 있는 X-20 고크롬강의 고온산화 거동을 조사하여 향후 보일러 튜브의 수명평가 방법 중 산화스케일을 이용한 방법에 활용하는데 있어 기초 자료로 이용하고자 한다.
-
-
가스터빈 핵심부품은 모두 고온고압의 열악한 환경에서 사용되므로 정기적으로 열화상태를 파악하여 신품으로의 교체 또는 재생 정비해야 한다. 그러나 국내의 재생 정비기술의 낙후로 인해 재생 가능한 고가의 부품까지 폐기, 교체함으로써 발전원가 상승의 주 요인이 되고 있다. 본 연구에서는 발전용 GT 블레이드 재료인 IN738LC 및 GTD111 판상시편에 대하여 다양한 용접 방법과 변수로 PTA 육성용접하였으며, 각 변수에 따른 미세조직 변화를 관찰하고 모의결함 시편에 대해 기계적 물성을 평가하였다.
-
용사 공정은 소재의 표면 특성을 개질하기 위한 가장 효과적인 공정의 하나로서, 최근 항공기용 엔진 부품을 포함하여 자동차, 및 각종 산업 분야에 폭 넓게 사용되고 있으나, 산업 환경의 고도화로 용사 코팅층의 다양한 분야에서의 고특성화가 요구되고 있다. 소재의 나노화는 이러 한 소재의 고 특성화 요구에 부응할 수 있는 새로운 기술로서, 현재 많은 분야에서 응용이 진행되고 있다. 특히 최근에는 나노기술을 용사 공정에 응용하기 위한 시도가 진행되고 있으나, 나노 분말 제조 기술이 아직 확립되어 있지 않고, 또한 나노 분말을 용사 공정에 응용하기 위한 기술의 부족으로 나노 용사공정에의 적용은 제한을 받고 있다. 이를 위해서는 나노분말 제조 기술의 개발이 이루어져야하고, 나노 분말 제조 기술과 용사 분말 제조 기술 그리고 용사 기술의 접목이 이루어 져야하는 어려움이 있다. 본 연구에서는 나노 용사용 분말을 제조하기 위 한 원료용 나노 분말을 화학적 공정에 의하여 제조 한 후, 이 분말의 유동도 및 밀도 제어를 위한 후 처리 공정을 개발하였다. 제조된 분말의 입자 크기는 약 150nm였으며, 용사 분말 제조후 분말의 겉보기 겉보기 밀도가 3.8g/cc로서 일반 용사용 분말에 비하여 우수하였다.
-
-
Gbit급 DRAM 커패시터의 고유전물질로 각광받고 있는 (Ba,Sr)TiO
$_3$ 〔BST〕의 하부전극 물질로서 (Ba,Sr)RuO$_3$ 〔BSR〕의 적용 가능성을 연구하였다. BSR은 BST와의 구조적, 화학적 유사성으로 인하여, BST와 하부전극사이의 저유전 계면반응 충의 생성을 최소화함으로서 향상된 전기적 특성을 구현 할 수 있다. 본 연구에서는 methoxyethoxytetramethylheptanedionate(METHD) 소스를 적용한 유기 화학 기상 증착법(MOCVD)법을 이용하여 BSR을 증착하였으며, 증착된 BSR의 특성을 x-ray photoelectron spectroscopy(XPS) 분석법으로 기화기 온도 변화에 따른 BSR박막의 특성을 분석하였다. 증착온도 55$0^{\circ}C$ 에서 소스의 기화효율에 영향을 미치는 기화기온도를 변화시켜가며 BSR박막의 증착실험을 진행하였으며 소스 유입 속도 0.075sccm, 증착 온도 55$0^{\circ}C$ , Ar/O2 = 200/350 sccm일 때 기화기 온도를 260~28$0^{\circ}C$ 까지 1$0^{\circ}C$ 간격의 변화로 증착실험을 수행하였다. -
최근 높은 유전상수와 잔류 분극, 비선형 등의 다양한 유전적인 특성으로 인해 산화물 박막이 많은 관심을 가지고 연구되어지고 있다. 많은 산화물 박막중에서도 BaTiO3/SiTiO3 (BTO/STO) 인 공격자는 STO나 BTO 또는 (Ba
$_{0.5}$ Sr$_{0.5}$ )TiO$_3$ (BST)등의 고용체들과 비교했을 때 아주 뛰어난 유전적인 성질을 나타내고 있다. 특히 1000$\AA$ 이하의 낮은 두께에서도 높은 유전상수와 비선형도를 가진다는 사실이 선행된 실험에서 밝혀졌는데 BTO와 STO를 각각 2 unit cell (8$\AA$ )로 고정 시킨 후 다층 박막으로 제작했을 때 가장 큰 유전 특성을 얻을 수 있었다. 이런 뛰어난 유전적인 성질은 BTO와 STO 각 층의 두께와 주기 변화에 따른 박막 내부의 인위적인 stress와 그에 따른 격자 변형과 아주 밀접한 관계가 있음으로 생각되어진다. 따라서 이런 두 계면에서의 stress와 격자 변형을 더욱 정착하게 분석하기 위해서는 각 층을 원자 단위로 정확하게 두께 제어를 하고 증착되어지는 과정중에서의 growth mode를 확인하는 것이 무엇보다 중요한 일이다. -
최근 새로운 개념에 물성 구현을 위한 강유전체 산화물 인공격자의 연구가 활발히 진행되고 있다. 본 연구에서는 펄스레이져 증착법을 이용하여 산소분압 100 mTorr와 증착온도 50
$0^{\circ}C$ 에서 LSCO/MgO 기판 위에 PbTiO$_3$ (PTO) 와 PbZrO$_3$ (PZO)을 주기적으로 적층하여 강유전체 산화물 인공격자를 형성하였다. 인공격자의 주기는 1~100 unitcell 까지 변화시켰다. 적층주기와 두께 변화에 따른 PZO/PTO 인공격자의 성장과 전기적 특성에 대하여 관찰하였다. X선 회절분석을 통하여 PZO/PTO 인공격자는 주기가 25 unit cell 이하의 적층구조에서 초격자의 형성으로 인한 위성피크가 관찰되었으며, 그 이하의 낮은 주기(1~10 unitcell)에서는 위성피크와 강한 (100)과 (200) 성장거동을 보였다. 높은 주기에서는 c축 성장된 PTO와 a축 성장된 PZO 각각의 성장거동을 보였다. 적층 주기가 감소함에 따라 a축 성장된 PTO와 c축 성장된 PZO가 초격자를 형성하였다. 적층주기가 감소함에 따라 유전상수와 잔류분극값이 향상되었다. 유전상수는 1 unitcell 주기에서 800정도의 값을 보였고, 잔류분극값은 2 unitcell 주기에서 2Pr=38.7$\mu$ C/$\textrm{cm}^2$ 정도의 가장 큰 값을 나타냈다. 적층주기가 2 unitcell에서 두께가 감소함에 따라 유전상수가 감소하였고, 20 nm 까지 분극반전에 의한 capacitance-voltage 특성곡선의 이력 현상(강유전성)을 관찰하였다. 이러한 산화물 인공초격자에서의 유전상수와 잔류분극값의 향상에 대하여 논의 할 것이며, 임계크기효과 관점에서 나노사이즈(50 nm~5 nm)에서 인공초격자의 전기적 분극의 안정성에 대하여 또한 논의 할 것이다.소수성 가스의 경우70% 이상 향상되었음을 알 수 있었으며, 본 연구를 통해 광분해를 이용한 스크러버가 기존설비의 장.단점을 충분히 보완 가능한 환경 설비임을 확인할 수 있었다. duty로 구동하였으며, duty비 증가에 따라 pulse의 on-time을 고정하고 frequency를 변화시켰다. dc까지 duty비가 증가됨에 따라 방출전류의 양이 선형적으로 증가하였다. 전압을 일정하게 고정시키고 각 duty비에서 시간에 따라 방출전류를 측정한 결과 duty비가 높을수록 방출전류가 시간에 따라 급격히 감소하였다. 각 duty비에서 방출전류의 양이 1/2로 감소하는 시점을 에미터의 수명으로 볼 때 duty비 대 에미터 수명관계를 구해 높은 duty비에서 전계방출을 시킴으로써 실제의 구동조건인 낮은 duty비에서의 수명을 단시간에 예측할 수 있었다. 단속적으로 일어난 것으로 생각된다.리 폐 관류는 정맥주입 방법에 비해 고농도의 cisplatin 투여로 인한 다른 장기에서의 농도 증가 없이 폐 조직에 약 50배 정도의 고농도 cisplatin을 투여할 수 있었으며, 또한 분리 폐 관류 시 cisplatin에 의한 직접적 폐 독성은 발견되지 않았다이 낮았으나 통계학적 의의는 없었다[10.0%(4/40) : 8.2%(20/244), p>0.05]. 결론: 비디오흉강경술에서 재발을 낮추기 위해 수술시 폐야 전체를 관찰하여 존재하는 폐기포를 놓치지 않는 것이 중요하며, 폐기포를 확인하지 못한 경우와 이차성 자연기흉에 대해서는 흉막유착술에 더 세심한 주의가 필요하다는 것을 확인하였다. 비디오흉강경수술은 통증이 적고, 입원기간이 짧고, 사회로의 복귀가 빠르며, 고위험군에 적용할 수 있고, 무엇보다도 미용상의 이점이 크다는 면에서 자연기흉에 대해 유용한 치료방법임에는 틀림이 없으나 개흉술에 비해 재발율이 높고 비용이 비싸다는 문제가 제기되고 있는 만큼 더 세심한 주의와 장기 추적관찰이 필요하리라 사료된 -
Ferroelectric 물질은 고유전성, 자발분극과 전기장에 따른 유전상수의 변화 등의 특성을 가지고 있으므로 많은 연구가 진행중이다. 이러한 ferroelectric 물질의 유전 특성에 미치는 요소로는 물질의 조성비, 박막의 스트레스, 결정성 등이 있다. 특히 스트레스에 대한 연구가 활발히 진행중이다. 본 연구에서 산화물 인공격자를 이용하여 단일박막에서 얻을 수 없는 격자변형도를 얻어 격자 변형이 박막의 유전특성에 미치는 영향을 연구하였다. BaTiO
$_3$ (BTO)/SrTiO$_3$ (STO) 산화물 인공격자를 Pulsed laser deposition (PLD)법으로 (La,Sr)CoO$_3$ 전극이 코팅된 MGO (100) 단결정 기판위에 증착시켰다. 적층 주기에 변화를 주어 BTO와 STO 각각 1.01~1.095와 0.925 ~ 1.003의 단일 막에서는 얻을 수 없는 격자 변형도를 얻었다. 이 실험적 데이터를 기초로 하여 density functional theory (DFT)라고 불리는 범함수밀도론를 기초한 제일원리적 계산 방법을 통하여 격자 변형된 SrTiO$_3$ 의 구조적, 전기적 특성을 계산하였다. SrTiO$_3$ 와 BaTiO$_3$ 격자의 안정성을 분석하기 위하여 Vienna Ab-intio Simulation Package (VASP) code가 사용되었다. SrTiO$_3$ 와 BaTiO$_3$ 산화물 격자의 안정성 분석 후, frozen-phonon 계산 방법을 사용하여 zone-centered optical phonon mode가 계산되었으며, mode effective charge는 Berry-phase polarization 으로부터 얻어졌다. SrTiO$_3$ 격자가 격자변형이 일어나지 않은 상태로부터 c/a= 0.985로 격자 변형 이 일어남에 따라 optical phonon mode는 점차 hardening되었다. BaTiO$_3$ 격자의 경우 SrTiO$_3$ 격자와는 달리 격자 변형이 1.01~1.023으로 진행됨에 따라 optical phonon mode의 증가를 가져왔으나 Born effective charge의 증가하였으며, 더 이상 격자 변형이 진행됨에 따라 optical phonon mode의 감소를 가져왔으나 Born effective charge의 증가 유전상수는 증가했다. 격자 변형이 SrTiO$_3$ 와 BaTiO$_3$ 산화물 격자의 optical phonon mode와 Born effective charge에 크게 영향을 미쳤다. -
Thickness dependent dielectric properties of
$BaTiO_3$ /Sr$TiO_3$ Nano-structured artificial latticesBaTiO$_3$ , SrTiO$_3$ 단일막과 BaTiO$_3$ (BTO)/SrTiO$_3$ (STO) 산화물 인공격자를 pulsed laser deposition (PLD) 법에 의해서 100 nm 두께의 (La,Sr)CoO3 (LSCO) 산화물 전극이 코핑된 MgO 단결정 기판 위에 증착시켰다. 이러한 기판위에서 2 unit cell의 적층 두께를 갖는 BTO/STO 초격자 (=BTO2/STO2)를 100~5 nm까지 변화시켰다. 또한 BTO와 STO 단일막도 같은 두께로 변화시켰다. 이러한 두께 범위에서 BTO, STO 단일막과 초격자의 격자변형에 따른 유전특성을 살펴 보았다. 두께 변화에 따른 단일막과 초격자의 구조 분석은 포항 방사광 가속기의 x-ray 회절에 의해서 이루어졌다. 다양한 두께를 갖는 BTO2/STO2 초격자에서 BTO와 STO 충은 in-plane 방향으로 격자정합을 유지하면서 변형되었다. 두께가 얇아지면서 하부 LSCO영향으로 BTO, STO의 n-plane 격자상수는 LSCO 격자상수 쪽으로 접근하였다. Out-of-plane 방향의 BTO 격자상수는 두께가 얇아지면서 증가하였고 반면에 STO 격자상수는 감소하였다. STO와 BTO 단일막의 격자변형은 두께가 얇아지면서 in-plane 방향으로 압축응력으로 인해 증가하였다. 그러나, 격자부정합도가 큰 BTO격자에서 더 많이 변형되었다. 또한 초격자에서 BTO격자가 BTO 단일막보다 더 많이 변형되었는데 초격자에서는 BTO, STO 두 층의 발달된 변형뿐만 아니라 하부 LSCO/MgO 기판의 영향을 함께 받고 있기 때문이다. 초격자와 단일막의 유전상수를 살펴보면은 두께가 감소하면서 유전상수가 감소하는 size effect을 보이고 있다. 하지만 초격자에서의 유전상수가 단일막보다 우수한 유전특성을 보이고 있다. 이러한 결과로 볼 때 격자변형이 size effect 영향을 끼치는 중요한 요소임을 확인하였다. -
High dielectric constant materials (high K) have attracted a great deal of interest because of the dramatic scaling down of DRAM capacitor reaching its physical limit in terms of reduction of thickness. Among high-K materials that can replace silicon dioxide, tantalum pentoxide (Ta2O5) thin film, with their high dielectric constant (∼25) and good step coverage, is the candidate of choice.
-
최근 전자재료분야 중 고집적 소자를 다루는 분야에서는 산화규소 유전박막의 두께가 얇아짐에 따라 상부전극과 하부기판 사이에서 발생하는 누설전류가 큰 문제가 되었다. 따라서 이를 극복하기 위해 고유전상수를 가진 두꺼운 유전박막을 사용하기 시작하였는데, 그 중 대표적 인 것이 하프늄옥사이드(HfO2)와 알루미나(A12O3)이다. HfO2의 장점은 큰 유전상수를 갖는다는 것이고, A1203의 장점은 열적 안정성 이 뛰어나며, 높은 bandgap에너지를 갖는 것인데, 이 둘의 장점을 살려서 보다 편리한 방법으로 박막을 증착한 것이 바로 HfAlO이다.
-
ZnO는 상온에서 3.37 eV의 넓은 밴드갭을 가지는 직접천이형 반도체이다. 상온에서 60 meV의 큰 엑시톤 결합에너지를 가짐으로 인해 엑시톤 재결합에 의한 강한 UV 레이저 발진효과를 기대할 수 있다. 이러한 장점을 갖는 ZnO 박막을 이용하여 광소자 등에 응용하기 위하여 양질의 ZnO 박막성장이 필수적이며, 이를 위해 MBE, MOCVD, PLD, rf magnetron sputtering 등 다양한 증착방법을 통한 연구결과가 보고되고 있다. 또한 p형 불순물인 As과 N 도핑 및 Ga과 N의 co-doping 방법 등을 통하여 p형 ZnO 박막을 제조하였음이 보고되고 있으나 재현성 문제 등으로 인해 계속적인 연구가 진행되고 있다. 본 연구에서는 MOCVD를 이용하여 A1
$_2$ O$_3$ (0001) 기판 위에 ZnO 박막을 성장시켰다. Zn 전구체로 DEZn을 사용하였으며, 산소 source로$O_2$ 를 사용하였다. 증착온도, Ⅵ/II 비율, 반응기 압력 등 MOCVD의 중요한 공정변수들의 체계적인 변화에 따른 박막성장 양상을 조사하였다. 증착 조건에 따라 ZnO 입자의 모양이 주상(column), nano-rod, nano-needle, nano-wire 등으로 급격하게 변화됨을 확인하였으며, 이러한 입자의 모양과 결정성장 방향 및 광학적 특성과의 상관관계의 해석을 시도하였다. -
디자인 룰에 의해 Gate Length 가 100nm 이하로 줄어듦에 따라 Gate delay 감소와 Switch speed 향상을 위해 보다 더 큰 drive current 를 요구하게 되었다. 본 연구는 dirve current 를 증가시키기 위해 고안된 Strained Si substrate 를 만들기 위한 SiGe layer 성장에 관한 연구이다. SiGe layer를 성장시킬 때 SiH
$_4$ gas와 GeH$_4$ gas를 furnace에 flow시켜 Chemical 반응에 의해 Si Substrate를 성장시키는 LPCVD(low pressure chemical vapor depositio)법을 사용하였고 SIMS와 nanospec을 이용하여 박막 두께 및 Ge concentration을 측정하였고, AFM으로 surface의 roughness를 측정하였다. 본 연구에서 우리는 10,20,30,40%의 Ge concentration을 갖는 10nm 이하의 SiGe layer를 얻기 위하여 l0nm 이하의 fixed 된 두께로 SiGe layer를 성장시킬 때 temperature, GeH$_4$ gas pre-flow, SiH$_4$ 와 GeH$_4$ 의 gas ratio를 변화시켜 성장시킨 후 Ge 의 concentration과 실제 형성된 두께를 측정하였고, SiGe의 mole fraction의 변화에 따른 surface의 roughness 를 측정하였다. 그 결과 10 nm의 두께에서 temperature, GeH$_4$ gas pre-flow, SiH$_4$ 와 GeH$_4$ 의 gas ratio의 변화와 Ge concentration 과의 의존성을 확인 할 수 있었고, SiGe 의 mole traction이 증가하였을 때 surfcace의 roughness 가 증가함을 알 수 있었다. 이 연구 결과는 strained Si 가 가지고 있는 strained Si 내에서 n-FET 와 P-FET사이의 불균형에 대한 해결과 좀 더 발전된 형태인 fully Depleted Strained Si 제작에 기여할 것으로 보인다. -
다결정 실리콘 박막은 박막 트랜지스터와 실리콘 태양전지등에 응용되며, 비정질 실리콘을 재결정화 하여 얻어지는 다결정 실리콘 박막이 주로 이용되고 있다. 비정질 실리콘 박막을 금속 원소와 접촉시킨 상태에서 열처리할 경우 결정화 온도가 낮아지고 결정화에 필요한 열처리 시간이 짧아지게 된다. 금속을 실리콘 박막 표면에 가하는 방법은 진공증착법등으로 비정질 실리콘 박막 위에 금속원소 층을 형성하는 방법이 주로 이용되었다. 본 연구에서는 AlCl
$_3$ 와 NiCl$_2$ 금속화합물 분위기에서 LPCVD 비정질 실리콘 박막을 열처리하여 결정화 거동을 관찰하였다. 금속화합물과 결정화할 비정질 실리콘 박막을 각각 다른 온도로 가열해 줄 수 있는 노를 이용하여 열처리를 시행하였다. AlCl$_3$ 와 NiCl$_2$ 분말을 혼합하여 소스로 이용한 경우 48$0^{\circ}C$ 5시간 열처리로 결정화가 완료되었으며, 박막 전체에 걸친 균일도와 재현성이 우수하였다. AlCl$_3$ 와 NiCl$_2$ 를 이용한 결정화 초기 상태에는 박막 전면에 걸쳐 등근 형태의 결정립이 균일한 핵 생성으로 나타났다. 이와 같은 결과는 Al과 Ni이 고상결정화에 동시에 작용하면서 나타난 것으로, Al이 가해진 비정질 실리콘으로 인해 결정화 속도가 빨라지고 결함이 작은 결정립을 얻을 수 있었으며, Ni로 인해 결정화의 균일성과 재현성을 높일 수 있었다. -
금속-실리콘간 화합물인 실리사이드 중에서, 코발트다이실리사이드(CoSi
$_2$ )는 비저항이 낮고 선폭이 좁아짐에 따라 면저항이 급격히 증가하는 선폭의존성이 없으며 화학적으로 안정한 재료로 현재 널리 이용되고 있는 재료이다. 또한, 실리콘 (100) 기판과 에피택셜하게 성장한 CoSi$_2$ 는 우수한 열안정성 과 낮은 juction leakage의 특성을 가지며, shallow junction 형성을 가능하게 하는 많은 장점을 가지고 있어 각광받고 있다. 그러나 순수한 Co의 증착 후속 열처리에 의해 형성된 CoSi$_2$ 는 (110), (111), (221)등의 다양한 결정방위를 가지게 되어 에피택셜 하게 형성되기 어렵다. 현재까지 Ti, Ta, Zr과 화학 산화막 등의 확산 방지막을 이용하여 에피 택셜하게 성장시키는 많은 방법들이 연구되어 왔으며, 최근에는 본 연구실에서 반응성화학기상증착법으로 Co-C 박막을 증착하여 in-Situ로 에피택셜 CoSi$_2$ 를 형성하는 새로운 방법을 보고하였다. 본 연구는 반응성 스퍼터링에 의해 증착된 Co-N 박박으로부터 후속 열처리를 통하여 에피택셜 CoSi$_2$ 를 성장시키는 새로운 방법을 제시하고자 한다. Co-N 박박은 Ar과$N_2$ 의 혼합가스 분위기 속에서 Co를 스퍼터링하여 증착하였다. 증착시 혼합가스 내의$N_2$ 함량의 변화에 따라 다양한 Co-N 박막이 형성됨을 확인하였다. 후속열처리시 Co-N 박막의 산화를 방지하기 위하여 Ti층을 마그네트론 스퍼터링으로 증착하였으며, Ar 분위기에서 온도에 따른 ex-situ RTA 열처리를 통하여 에피택셜 CoSi$_2$ 를 성장시킬 수 있었다. 이러한 에피택셜 CoSi$_2$ 는 특정 한 Ar/$N_2$ 비율 내에서 성장이 가능하였으며, 약$600^{\circ}C$ 이상의 열처리 온도에서 관찰되었다. -
The nanotopography of silicon wafers has emerged as an important factor in the STI process since it affects the post-CMP thickness deviation (OTD) of dielectric films. Ceria slurry with surfactant is widely applied to STI-CMP as it offers high oxide-to-nitride removal selectivity. Aiming to control the nanotopography impact through ceria slurry characteristics, we examhed the effect of surfactant concentration and abrasive size on the nanotopography impact. The ceria slurries for this study were produced with cerium carbonate as the starting material. Four kinds of slurry with different size of abrasives were prepared through a mechanical treatment The averaged abrasive size for each slurry varied from 70 nm to 290 nm. An anionic organic surfactant was added with the concentration from 0 to 0.8 wt %. We prepared commercial 8 inch silicon wafers. Oxide Shu were deposited using the plasma-enhanced tetra-ethyl-ortho-silicate (PETEOS) method, The films on wafers were polished on a Strasbaugh 6EC. Film thickness before and after CMP was measured with a spectroscopic ellipsometer, ES4G (SOPRA). The nanotopogrphy height of the wafer was measured with an optical interferometer, NanoMapper (ADE Phase Shift)
-
고집적화된 시스템 LSI 반도체 소자 제조 공정에서 소자의 고속화 및 고성능화에 따른 배선층수의 증가와 배선 패턴 미세화에 대한 요구가 갈수록 높아져, 광역평탄화가 가능한 STI CMP(Shallow Trench Isolation Chemical-Mechanical-Polishing)공정의 중요성이 더해가고 있다. 이러한 STI CMP 공정에서 세리아 슬러리에 첨가되는 계면활성제의 농도에 따라 산화막과 질화막 사이의 연마 선택비를 제어하는 것이 필수적 과제로 등장하고 있다. 일반적인 CMP 공정에서 압력 증가에 따른 연마 제거량이 Prestonian 거동을 나타내는 반면, 연마 입자의 크기를 변화시켜 계면활성제의 농도를 달리 하였을 경우, 압력 변화에 따라 Non-Prestonian 거동이 나타나는 것을 고찰할 수 있었다. 따라서 본 연구에서는 세리아 슬러리 내에 첨가되는 계면활성 제의 농도와 연마입자의 크기를 달리한 후, 압력을 변화시킴으로 나타나는 non-Prestonian 거동에 미치는 영향에 대하여 연구하였다.
-
Chemical mechanical polishing (CMP) is one of the most important processes in recent ULSI (Ultra Large Scale Integrated Circuit) manufacturing technology. Recently, ceria slurries with surfactant have recently been used in STI-CMP,[1] became they have high oxide-to-nitride removal selectivity and widen the processing margin The role of the abrasives, however, on the effect of planarization on STI-CMP is not yet clear. In this study, we investigated how the crystal characteristic affects the planarization efficiency of wafer surface with controlling crystallite size and poly crystalline abrasive size independently.
-
실리콘 박막 태양전지는 저가, 대면적 생산이 가능해 최근 주택용, 발전용의 차세대 태양전지로써 부각되고 있다. 그러나, 단결정, 다결정 태양전지에 비해 상대적으로 낮은 효율특성 때문에 그 특성을 향상시키고자 하는 다양한 연구가 진행되고 있다. 비정질/마이크로결정질 실리콘 박막 태양전지에서 광흡수층으로 사용되는 i층은 광흡수를 최대화하기 위해 암전류(
$\sigma$ $_{d}$ )가 낮고 광전류($\sigma$ $_{ph}$ )가 높은, 즉, 광민감도($\sigma$ $_{ph}$ /$\sigma$ $_{d}$ )가 높은 박막을 적용해야 한다. 한편, 도핑된 윈도우층의 경우에는 넓은 밴드갭을 갖도록 하여 윈도우층에서의 광흡수가 최소화되도록 박막을 형성해야 한다. -
SiC는 wide bandgap 물질로서 그 material properties로 인하여, high tmperature, high power, high frequency영역으로의 사용이 기대되는 물질이다. 따라서 SiC에 대한 기본적인 연구와 더불어, 그 소자 제작 및 응용에의 연구가 절실한 시점이다. 이에, SiC 기본적인 소자중 하나인 Schottky diode에 대해 연구하였다. 본 논문은 Schottky contact 물질로써 현재까지 연구가 미비한 Ru을 사용하였다. Ru은 Pt 계열물질로써, 다른 metal에 비하여 열역학적으로 안정하며, 또한 그의 산소 화합물인 RuO2는 다른 oxide에 비하여 전도성 이 높은 장점을 가지고 있다. 따라서 Ru-SiC diode는 이러한 측면에서 연구할 만한 가치가 있다.
-
최근 trench capacitor, isolation trench, micro-electromechanical system(MEMS), micro-opto-electromechanical system(MOEMS)등의 다양한 기술에 적용될 고종횡비(HAR) 실리콘 식각기술연구가 진행되어 지고 있다. 이는 기존의 습식식각시 발생하는 결정방향에 따른 식각률의 차이에 관한 문제와 standard reactive ion etching(RIE) 에서의 낮은 종횡비와 식각률에 기인한 문제점들을 개선하기 위해 고밀도 플라즈마를 이용한 건식식각 장비를 사용하여 고종횡비(depth/width), 높은 식각률을 가지는 이방성 트랜치 구조를 얻는 것이다. 초기에는 주로 HBr chemistry를 이용한 연구가 진행되었는데 이는 식각률이 낮고 많은양의 식각부산물이 챔버와 시편에 재증착되는 문제가 발생하였다. 또한 SF6 chemistry의 사용을 통해 식각률의 향상은 가져왔지만 화학적 식각에 기인한 local bowing과 같은 이방성 식각의 문제점들로 인해 최근까지 CHF3, C2F6, C4F8, CF4등의 첨가가스를 이용하여 측벽에 Polymer layer의 식각보호막을 형성시켜 이방성 구조를 얻는 multi_step 공정이 일반화 되었다. 이에 본 연구에서는 SF6 chemistry와 소량의 02/HBr의 첨가가스를 이용한 single_step 공정을 통해 공정의 간소화 및 식각 프로파일을 개선하여 최적의 HAR 실리콘 식각공정 조건을 확보하고자 하였다.
-
금속용액을 이용하여 측면고상결정화 시킨 다결정 실리콘 박막내의 고각입계를 줄이기 위해 서 고온열처리를 실시하였다. SEM과 TEM을 이용하여 다결정 실리콘내의 바늘모양의 결정립의 폭의 증가를 관찰하였고, 결정 립내의 결함이 감소를 관찰하였다. 그리고 결정화된 다결정 실리콘의 표면 거칠기를 AFM이용하여 퍼니스에서 53
$0^{\circ}C$ 에서 25시간 동안 결정화 시킨 시편과 이후 80$0^{\circ}C$ 에서 40분간 추가 고온 열처리시킨 시편을 비교한 결과 6.09$\AA$ 에서 4.22$\AA$ 으로 개선되었음을 확인할 수 있었다. 박막내의 금속에 의한 오염을 줄이기 위해 금속의 농도를 줄인 금속용액을 결정화에 사용하였다. 이때 저농도 금속용액을 사용하여 측면결정화시킨 다결정 실리콘 박막내의 소각입계를 이루는 결정립군의 크기가 고농도 금속용액을 이용하여 측면결정화시킨 경우보다 증가함을 확인 할 수 있었다. 박막트랜지스터를 제작하여 트랜지스터의 전기적특성을 살펴보았다. 전계이동도가 80$0^{\circ}C$ 고온 열처리에 의해서 53$\textrm{cm}^2$ /Vsec 에서 95$\textrm{cm}^2$ /Vsec 로 상승하였는데 이는 고온열처리에 의해서 측면결정화된 다결정 실리콘내의 트랩 밀도가 2.2$\times$ $10^{12}$ /$\textrm{cm}^2$ 에서 1.3$\times$ $10^{12}$ $\textrm{cm}^2$ 로 감소하였기 때문이다. -
Hot embossing has been widely accepted as an alternative to photolithography in generating patterns on polymeric substrates. The optimization of embossing process should be accomplished based on polymer substrate materials. In this paper, the effect of polymer substrates on nano scale hot embossing process was studied. Silicon molds with nano size patterns were fabricated by e-beam direct writing. Molds were coated with self-assembled monolayer (SAM) of (1, 1, 2.2H -perfluorooctyl)-trichlorosilane to reduce the stiction between mold and substrates. For an embossing, pressure of 55, 75 bur, embossing time of 5 min and temperature of above transition temperature were peformed. Polymethylmethacrylates (PMMA) with different molecular weights of 450,000 and 950,000, MR-I 8010 polymer (Micro Resist Technology) and polyaliphatic imide copolymer were applied for hot embossing process development in nano size. These polymers were spun coated on the Si wafer with the thickness between 150 and 200 nm. The nano size patterns obtained after hot embossing were observed and compared based on the polymer properties by scanning electron microscopy (SEM). The imprinting uniformity dependent on the Pattern density and size was investigated. Four polymers have been evaluated for the nanoimprint By optimizing the process parameters, the four polymers lead to uniform imprint and good pattern profiles. A reduction in the friction for smooth surfaces during demoulding is possible by polymer selection.
-
반점착막으로 활용되고 있는 불화유기박막의 형성을 ICP를 이용하여 나노미터로 성장시키고 이를 기계적/화학적 관점에서 특성평가를 수행했다. 증착된 불차유기 박막은 알루미늄 시편위에서 110도 근처를 나타냈으며 30도 근처의 낮은 히스테리시스를 보이는 안정한 박막이 형성되었다. 극성과 비극성 용액을 사용하여 표면에너지를 검사한 결과 약 20 mN/m 이하의 낮은 표면에너지를 얻을 수 있었다. AFM을 이용한 실험결과 표면의 점착력이 약 4nN으로 매우 낮은 점착력을 가짐을 확인 할 수 있었다. FTIR 실험결과 표면에 CF
$_2$ 와 같은 불화유기 그룹이 발견되었다. -
최근 LCD(liquid crystal display)분야에서 고해상도와 빠른 응답속도를 가지는 다결정 실리콘 박막트랜지스터에 대한 연구를 하고 있다. 그러나, poly-Si은 poly-Sil-xGex에 비해 intrinsic carrier mobility가 낮고 고온의 결정화 공정을 필요로 한다. 따라서, Poly-Si을 대체할 재료로 poly-SiGe에 대한 연구가 활발히 진행되고 있다. 본 연구에서는 전계에 의해 결정화가 가속되고 한쪽 방향으로 결정화를 제어하여 채널내 전자나 정공의 이동도를 향상시 킬 수 있는 새로운 결정화 방법인 전계 유도 방향성 결정화법을 이용하여 Ge 함량에 따른 a-Sil-xGex(0
$\leq$ x$\leq$ 0.5)의 결정화 특성을 연구하였다. 대기압 화학 기상 증착법으로 5000$\AA$ 의 산화막(SiO$_2$ )이 증착된 유리 기판상에 플라즈마 화학 기상 증착법을 이용하여 800$\AA$ 의 비정질 실리콘을 증착한 후 RF magnetron sputtering법을 이용하여 Ge 함량에 따른 Sil-xGex 박막을 1000$\AA$ 증착하였다. Photolithograph방법을 이용하여 금속이 선택적으로 증착될 수 있는 특정 Pattern을 가진 mask를 형성한 후 금속을 DC magnetron sputtering법을 이용하여 상온에서 50$\AA$ .을 증착하였다. 이후 시편에 전계를 인가하기 위해 시편의 양단에 전극을 형성한 후 DC Power Supply를 통해 전압을 제어하는 방식으로 전계를 인가하였다. 결정화 속도는 광학현미경을 이용하여 분석하였으며 결정화된 영역의 결정화 정도는 micro-Raman spectroscopy로 분석하였다. -
적외선 감지기는 냉장고, 에어컨, 자동차용 전자부품 등의 온도측정 및 제어, 과잉 전류의 억제를 위한 소자로 널리 사용되며, 또한 최근에는 온도보상형 수정발진기(TCXO) 또는 RF모듈, 액정 판넬의 온도보상회로 등 정보통신기기의 신뢰성 향상을 위해 그 수요가 날로 증가하고 있다. 현재 상용되는 적외선 감지기의 대부분은 벌크형 또는 후막형으로 제조되고 있으나, 최근 반도체공정 기술의 발달로 인하여 보다 향상된 특성이 요구됨에 따라 박막형 등 새로운 형태의 적외선 감지기 대해 활발한 연구가 이루어지고 있다. 본 연구에서는 열 질량과 전도에 의한 열손실을 최소화하여 소자의 감도 및 응답 특성을 향상시키기 위하여 SiO
$_2$ Si$_3$ N$_4$ /SiO$_2$ (ONO)다중층 위에 소자 감지부를 형성하고 bulk-micromachining기술을 이용하여 멤브레인 구조를 갖는 박막형 적외선 감지기를 제작하였다. -
본 연구에서는 대기 중 극 미량으로 존재하는 환경 유해 가스 성분을 검출할 수 있는 미세 소자로의 응용을 위해 마이크로 칸티레버를 제작하고 가스 센서로의 활용 가능성을 검토하였다. 마이크로 칸티레버는 크게 구동층 캐패시터로서 대표적인 압전 재료인 Pb(Zr,Ti)O
$_3$ (PZT)를 사용하고 SiNx 박막을 지지층으로 하는 형태로 제작되었다. 제작된 마이크로 칸티레버는 치수 및 형상에 따라 17~29 KHz 의 기본 공진 주파수 값을 나타내었다. Electron beam evaporator를 이용한 copper (Cu) 박막의 단계적인 증착을 통해 칸티 레버 표면에 질량을 증가시키고 그에 따른 마이크로 칸티레버의 공진주파수 변화를 관찰한 결과 질량 증가에 대해 34 Hz/ng의 선형적인 주파수 감소를 나타내었으며, 이로부터 694.4$\textrm{cm}^2$ /g 의 gravimetric sensitivity factor를 얼을 수 있었다. 마이크로칸티레버의 가스 감지능력 시험을 위해 가스 흡착층으로 일차 알콜류의 vapor를 흡착 하는 것으로 보고된 poly methyl metacrytate (PMMA)를 마이크로 칸티레버 표면에 코팅하였다. 마이크로칸티 레버의 기본 공진 주파수 및 PMMA 흡착층 형성과 가스의 흡착에 따른 주파수 변화는 마이크로 칸티 레버로부터 의 전기적 신호를 이용하는 복소 임피던스 분석에 의해 측정되었다. PMMA가 코팅된 마이크로 칸티레버는 ethanol 및 methanol vapor 의 농도가 증가함에 따라 선형적인 공진주파수 감소를 나타내었으며, methanol vapor 의 경우 0.06 Hz/ppm 의 가스 검출 감도를 얻을 수 있었다. -
-
디스플레이 소재나 자동차 글레이징 소재에 있어서 경량화나 충격성을 향상시키기 위하여 플라스틱소재를 기재로 응용하는 연구가 진행되고 있다. 본 연구에서는 폴리에틸렌테레프탈레이트(PET)와 폴리카보네이트(PC) 고분자 필름의 내마모성, Haze 성, 내수증기 차단성 등을 향상시키기 위하여 유기실리콘 전구체인 HMDSO 모노머와 산소를 사용하여 플라즈마 도움화학증착(PECVD) 하였다. RF출력과 HMDSO 투입량, 산소투입량을 변화시키면서 각 증착조건에 따른 생성된 필름의 화학결합구조와 표면조도, 헤이즈 특성에 미치는 영향을 FTIR-ATR, AFM, Hazemeter를 이용하여 알아보았다. HMDSO와 산소를 사용한 박막의 증착은 100 nm/min이상의 높은 증착속도를 가졌고, 증착실험에서 얻은 증착필름의 원소조성을 XPS를 이용하여 구한 결과, 종전의 다른 유기실리콘계 모노머를 사용했을 때보다 효과적으로 박막에 존재하는 탄소잔류물을 감소시키는 것을 확인하였으며, FTIR-ATR결과로 부터 플라스틱 기재의 차이로 인한 생성박막의 결합구조가 다름을 알 수 있었다. 본 연구로부터 HMDSO/02 시스템이 탄소함량이 낮은 박막을 형성시키고 내마모도가 좋은 박막을 증착시키는데 효과적인 것을 알 수 있었다.
-
레이져 플래시법은 고온에서 열물성을 측정하는 수단으로 가장 많이 사용되고 있는 방법으로 알려져 있다. 각종재료의 열전도도를 측정하는 방법들이 많으나 열평형 유지, 고온, 측정시간등의 제약으로 열확산도측정이 간편하고 고온까지 가능하므로 이에 대한 측정법이 일반화되어 있다. 레이져 플레시법은 열확산도를 1초이내 측정가능하고 200
$0^{\circ}C$ 까지 장치구현이 가능하므로 가장 많이 이용되고 있다. 그러나 장치의 검증을 위한 열확산도 표준물질이 필요로 하나 현재 열전도도 기준물질을 이용하여 검증하고 있으나 향후 열확산도 기준물질의 개발이 현재 시급하다. 현재까지 그라파이트를 중심으로한 고열전도도 연구가 진행되고 있으며, 현재 국제기관에 의해 인증된 기준물질이 부족한 실정이다. 본 연구에서는 기준물질로서 가능성을 탐색하고자 이용이 가장 많은 금속을 택하였다. 현재 텅스텐 및 몰리브덴이 고온까지 안정적이므로 두가지 재료를 택하여 실험을 수행하였다. 먼저 상온~1000K온도영역에서 열확산도 측정연구를 수행하였다. 측정된 데이터 값은 TPRC값과 비교하여 10%이내의 오차를 보였으며 고온에서 높은 안정성을 나타냄을 확인할 수 있었다. 아울러 계측시스템의 자동화 및 개량화를 통하여 실험과정에서 발생할 수 있는 오차를 줄였다. 열확산도 해석은 대수법(logarithmic법)과 Parker법을 이용하여 분석하였으며, 레이져에너지 및 시료크기에 따른 영향을 고려하여 여러가지 크기의 시편을 가지고 실험하였다. -
리튬은, 세라믹스, 2차전지, 냉매흡착제, 촉매, 의약품등 넓은 분야에 이용되고 있다. 하지만, 자원으로서 리튬의 양은 한정되어 있으며, 리튬의 안정적인 확보는 장래 에너지공급 둥을 고려할 때 매우 중요한 문제의 하나로 대두되고 있다. 이와 같이 리튬의 안정적인 공급을 위한 해결수단으로써 리튬을 바다에서 채취하고자 하는 연구가 주목을 받고 있다. 본 연구는 리튬이온 흡착제 성능을 높이기 위해 새로 개발된 스피넬형 L
$i_{1.6}$ M$n_{1.6}$ $O_4$ 의 전자상태 및 화학결합을 통하여, 리튬 이온의 거동 및 각 원자간의 상호작용에 대해 알아보는 것을 목적으로 하고 있다. 연구방법으로는 DV-X$\alpha$ 분자궤도법(1-3)을 이용한 클러스터계산을 수행했고, 멀리켄의 전자밀도 해석을 통해 각 원자의 이온성 및 각 원자간의 상호작용에 대해 고찰했다.다.다. -
The effects of Ti addition on microstructure and mechanical properties of (Al+Xat.%Ti)2at%B (X=0.5, 1, 2) fabricated by mechanical alloying and spark plasma sintering (SPS) were investigated. These alloys were prepared by high energy ball milling (attritor) and then fracture toughness was investigated by using a charpy impact tester. The SPS method was used to consolidate (Al+Xat.%Ti)fat.%B with the pressure of 50MPa. The powders were successfully consolidated to alloy which the theoretical density is 99%. It was confirmed that the fracture toughness of Al-Bat.% matrix composites was increased by the addition of Ti.
-
주편은 1차 냉각 지역인 수냉 몰드를 통과한 후, 2차 냉각 지역에서 guide roll, pinch roll 그리고 driven roll등에 의해 반복적인 압축하중을 받고 있으며, roll과 roll사이에서는 철정압에 의한 주편 bulging 현상이 발생하고 주편의 표면은 인장응력을 받게 된다. 특히 연속주조 중 주편의 변형기구가 단순 탄소성 변형 이 아닌 creep에 의한 변형임을 고려할 때, 2차 냉각 지역에서 주편의 표면은 전술한 압축 및 인장변형 이 반복되는 저주기 고온 피로 환경을 거침을 알 수 있다. 본 연구에서는 탄소함량에 따른 주편의 bulging시의 크랙 발생에 미치는 저주기 고온 피로의 효과를 조사하였다. 또한, 용체화 처리 온도에서 시험 온도까지의 냉각 속도의 영향을 조사하기 위하여 1
$^{\circ}C$ /s 및 1$0^{\circ}C$ /s로 냉각 속도를 변화시켜 열간 연성 곡선을 작성하였다. 본 연구에서 얻어진 결과는 다음과 같다. 저탄소강의 경우는 저주기 피로의 영향이 관찰되지 않았으며, 중탄소강의 경우, 저온에서는 저주기 피로로 인해 열간 연성이 증가하였으나, 고온에서는 변형유기 페라이트의 생성으로 인해 열간 연성 이 감소하였다. 고탄소강의 경우는 저주기 피로로 인하여 열간 연성이 모든 온도 구간에서 증가하였다. 또한 용체화 처리후 시험 온도까지의 냉각 속도가 감소함에 따라 열간 연성이 증가하였는데, 이는 입 계 석출물의 조대화로 인해 열간 연성이 증가하는 것으로 판단된다. -
-
Sliding wear behaviors of Inconel 600 and 690 were investigated at room temperature in air. In the present study, Archard's equation which has low reliability was modified. In the prediction of wear volume by Archard's equation, the reliabilities of Inconel 600 and 690 were about from 26.3% to 45.7% and from 69. l% to 88.6%, respectively, The sliding wear behaviors of Inconel 600 and 690 turned out to be influenced by their stacking fault energy, and the fact was confirmed by using TEM and micro-hardness test Based on experimental results, the wear coefficient was modified as a function of the sliding distance. The calculation with the modified wear equation showed that the reliability of Inconel 600 tested with 409 ferritic stainless steel increased from 45.7% to 93.4%.
-
Tungsten and tungsten heavy alloys have widespread application as radiation shielding devices and heavy duty electrical contacts. High density and good room temperature mechanical properties have generated interest in evaluating tungsten and tungsten alloys as kinetic energy penetrators against armor. Nowdays ultra fine-grained tungsten powders are in great interest because higly dense structures can be obtained at low temperature, pressure and lower sintering time. Several physical md chemical methods are available for the synthesis of nanometric metal Powders: ball milling, laser abalation, vapor condensation, chemical precipitation, metallic wire explosion i.e. However production rates of the above mentioned methods are low and further efforts are needed to find out large-scale synthesis methods. From this point of view solid state combustion method ( known as SHS) represents undoubted interest.
-
특정한 마찰 또는 윤활조건 하에서 변형율 속도가 마찰인자에 미치는 영향을 관찰하기 위하여, 약 1
$\times$ $10^{-3}$ /sec, 1$\times$ $10^{-2}$ /sec, 1$\times$ $10^{-1}$ /sec에 해당되는 3종류의 변형율 속도에서 평활금형, 흑연계윤활제 및 2황화 몰리브덴계 윤활제에 대한 마찰인자를 상온에서 각각 측정하였다. 측정방법으로서는 외경, 내경 및 높이 비가 6 : 3 : 2인 Al 6061 연주재 ring시편을 공칭변형율 65%까지 약 10% 씩 단속적으로 압축한 후 재윤활 하여주는 단속적 상온 압축시험 방법을 적용하였다. 측정결과, 변형율 속도가 증가함에 따라 윤활제를 사용하지 않은 평활금형에서의 마찰인자는 0.25에서 0.31로 증가, 흑연계 윤활제 적용시에는 0.23에서 0.15로 감소하는 경향을 각각 나타내었고, 2황화 몰리브덴계 윤활제 적용시에는 각각의 변형속도에서 측정된 인자는 0.09-0.10 범위 내로써 마찰인자에 미치는 변형율속도 영향이 아주 미미함을 보여주었다. 본 연구 결과에서는 이 측정결과로부터 각각의 변형속도에서 Al 6061 연주재의 원통형 압축시편으로부터 구한 압축측정응력으로부터 상온유동응력을 구하여 상호 비교하고자 하였다. -
비정질 합금은 기존 결정질 합금에서는 얻을 수 없는 독특한 물리적, 화학적, 기계적, 전자기적 특성을 나타내는 것으로 알려져 있다. 비정질을 형성하기 위해서는 매우 큰 냉각속도가 필요하므로 제조 가능한 비정질 합금은 분말, ribbon, 박판 형태로 제한되어 있다. 최근 비정질 분말 제조 및 벌크 비정 질에 관한 연구는 많은 발전을 보아 왔지만, 아직도 고청정 비정질 합금 분말의 제조와 대량 생산화 관해서는 많은 연구가 요구된다. 본 연구에서는 고청정 Ni-Zr-Ti-Si-(Sn)계 벌크 비정질 분말을 가스분무법으로 제조하였다. 제조된 합금 분말은 각 입도 별로 구분하여, XRD 분석을 통하여 비정질 형성 가능 입도을 분석하였다. 분말의 외형은 SEM으로 분석하였으며, 미세구조는 TEM을 사용하였다. 열적특성은 DSC 분석으로 조사하였다. 또한 제조한 비정질 분말의 미세구조와 비교하기 위하여 Tg와 Tx 온도범위에서 열처리 한 분말의 미세구조를 분석하였다. XRD 분석 결과, 가스분무법으로 제조된 Ni-Zr-Ti-Si-(Sn) 분말 중에서 75
$\mu\textrm{m}$ 이하의 분말은 비정질상을 가졌으며, 75$\mu\textrm{m}$ 이상의 분말은 결정질 또는 비정질의 혼합 상으로 구성되었다. 비정질 분말 회수율은 약 60% 이상이었다. 미세 TEM 분석에서 75$\mu\textrm{m}$ 이하 분말은 전형적인 비정질 Halo 형상을 보였으며, 결정질이 혼합된 분말은 비정질 기지상에 결정질 응고 수지상 조직이 혼합되어 있음을 확인하였다. -
Composition in the (Znl-xNix)2TiO4+ yTiO2 system (x=0-0.5, y=0-0.35) were synthesized via the solid-state reaction route. The incorporation of titanium, in the form of TiO2, in (Znl-xNix)2TiO4 spinel ceramics were investigated by analyzing the crystal structure and measuring the dielectric properties. The result of the crystal structure analysis suggested that TiO2 level of 0.01 y 0.33 could be incorporated into the (Znl-xNix)2TiO4 spinel. The change of incorporated TiO2 level is related with Co-content as a inverse proportion and the variation of lattice parameter and dielectric properties were supported the result.
-
Surface Roughness of Glass-Ceramic
$(Li_2O-Al_2O_3-SiO_2)$ System Based on Different Crystallinities초내열성 결정화유리는 전기전자부품, 천체만원경의 반사경, 직화용 조리기기, 군사용 부품 등에 광범위하게 이용되고 있는데 이중 LAS 계가 가장 오랫동안 알려진 조성 계이다. 이 결정화유리는 주입성형후 열처리에 의하여 결정상을 생성하여 사용되는데 표면이 매우 낮은 조도를 갖는 것으로 알려져 있으나 실제로는 제조상에 조도가 높게 나타나는 문제점이 제기되고 있다. 따라서 본 연구에서는 미세조직적인 측면에서 이러한 점을 연구하고자 Li$_2$ O-AL$_2$ O$_3$ -SiO$_2$ 를 주성분으로 하고 소량의 MgO와 핵생성제로서 TiO$_2$ , ZrO$_2$ 를 사용하여 시편을 제조하였다. 제조된 결정화유리의 결정화도의 차이에 따라 AFM,$\alpha$ -STEP등으로 표면의 조도를 정량화였다. 결정상의 종류, 크기, 결정화도, 결정상간의 간격 등이 표면의 조도에 어떻게 영향을 주는가 에 대하여 연구한 결과를 제시하였다. -
최근들어 차세대 디스플레이 시장이 뜨겁게 달아오르고있다. 이에 따라서 보다 나은 발광특성 및 긴수명, 적정 잔광시간등 보다 우수한 형광체개발이 더욱 절실한 시점이다. 본 연구에서는 많은 형광체 후보물질중 하나인 yttrium silicate를 기상법으로 제조하였는데, 질산염 형태인 yttrium과 Tb에 소량의 질산에 용해시킨 Tetraethyl orthosilicate를 혼합하여 분무용액을 만든다음 분무 열분해법으로 제조하여 나온
$Y_2$ SiO$_{5}$ :Tb 입자들의 형상 및 발광특성등을 살펴보았다. -
-
대기나 물에 용해된 여러 가지 유해한 유기물을 분해하기 위한 방안으로서 다양한 광촉매 재료를 이용하려는 시도가 진행되고 있다. 하지만 광촉매 반응은, 현탁액 내에서 submicrometer 크기를 갖는 반도체재료에서 발생하므로 처리된 폐수로부터 촉매를 제거해야 하는 정제공정 (downstream process)이 필요하며, 이는 경제적인 측면에서 큰 경비를 요구하게 된다. 이를 해결 하기 위하여, 가장 우수한 광촉매 재료로 평가받는 TiO
$_2$ 를 glass beads, sands, silica gel등의 물질에 고정시키거나, TiO$_2$ 를 자성 입자에 코팅시킨 형태인, 자성 광촉매입자를 응용하려는 연구가 최근 활발하게 진행되고 있다. 따라서 본 연구에서는 광촉매의 고정화와 재활용 효율을 향상시키기 위하여 TiO$_2$ 나노입자를 y-Fe$_2$ O$_3$ 나노입자의 표면에 코팅하여 나노입자의 큰 비표면적을 활용하고 미세구조를 제어하여 입자간의 고정특성과 자기적 특성의 제어기술을 확립하고자 하였다. -
RF magnetron sputtering 법을 이용하여 LaA1O
$_3$ , SrTiO$_3$ , MgO 단결정 기판 위에 BaTiO$_3$ 박막을 에피텍셜하게 증착하여 박막의 특성과 마이크로 웨이브에서의 유전특성을 평가하였다. 각 기판위에 증착한 박막의 격자상수와 FWHM을 조사하였고, pole figure로 에피텍셜 성장을 관찰하였다. 각 시편에 상부 전극으로 interdigital 타입의 전극을 photolithography 하여 캐패시턴스와 tan$\delta$ 을 조사하였다. 각 기판의 변화에 따른 격자상수 변화와 유전 특성의 변화를 고찰하였다. -
-
최근 집적형 광소자, 레이저 재료, 자료 저장 또는 통신 기술부문에서 제어된 광학적 성질을 갖는 유기-무기 나노 복합체를 만드는 연구가 많은 관심과 주목을 받고 있다. 유기물인 PEG는 대다수의 금속염을 고정시키는 용매 역할을 하는 polymer로써 액체와 같은 특징을 나타내며 무기물인 silica의 network는 순수한 PEG 시스템보다 좋은 기계적 물성을 나타내며, 투명한 물질을 얻을 수 있게 해 준다. 이에 본 연구에서는 SiO2-PEG의 matrix에 우수한 광학적 성질을 지닌 europium을 doping하여 유기-무기 나노 복합막을 합성하여 europium의 농도와 PEG 분자량에 따른 구조적 및 광학적 성질을 알아보고자 한다.
-
-
-
제올라이트는 다양한 유기질 분리의 촉매제 및 광학, 화학 센서, 기체 분리 등의 고기능 소재로서 크게 주목받고 있으며, 그 중 MFI type(ZSM-5, Silicalite-1) 제올라이트는 주로 석유화학공정에 주로 이용되고 있고, 분리막으로서 이산화탄소의 분리/회수 및 물/유기 혼합물의 분리 등에 대한 연구가 활발히 진행되고 있다. 본 연구에서는 분리막 제조에 유리할 것으로 판단되어지는 적합한 크기와 형상을 갖춘 나노크기의 제올라이트 분말을 수열합성법과 마이크로웨이브 합성법을 이용하여 합성하였으며, 위의 조건으로 다공질 알루미나 지지 체 위에서 알루미나/제올라이트 분리막 제조를 하는데 성공하였다. 또 한 다양한 조건(시간, 온도, 조성)에 따른 막의 두께변화와 균열발생정도를 관찰한 결과 합성시간, 건조온도에 따라서 같은 조건의 분리막 사이에서도 현격한 차이가 나타남을 알 수 있었다. 얻어진 MFI type제올라이트 분말과 분리막은 XRD, SEM, BET, TGA, FT-IR등의 분석수단을 이용하여 물성평가를 실시하였다.
-
The peroxo titanic acid solution was successfully prepared using titanium trichloride as a precursor. The basic properties of the TiO2 film prepared by the solution were investigated in view of phase change, bandgap energy, crystalline size etc. The film displayed amorphous TiO
$_2$ at room temperature, anatase above 281$^{\circ}C$ and a mixture of anatase and rutile at 99$0^{\circ}C$ , The crystalline size increases with annealing temperatures, while the bandgap energies decrease due to the quantum size effect and the formation of rutile phase which has low bandgap energy. As a result of TG-DTA, it was found that annealing treatment at 99$0^{\circ}C$ for 2h formed a mixtures of anatase and rutile through three steps: (1) the removal of physically adsorbed water (2) the decomposition of peroxo group (3) amorphous-anatase or anatase-rutile phase transformation. -
은분말은 전자 산업에 있어 후막 도체 페이스트의 제조를 위해 사용되어지고 있다. 후막 페이스트는, 기재상에 스크린 프린트되고, 전도성의 회로 패턴을 형성한다. 이러한 회로는, 다음에 건조, 소성되고 액체 유기 비이클을 휘발 시키고, 그리고 은 입자를 소결시킨다. 프린트 회로 기술은 점점 고밀도이면서 더욱 정밀한 전자 회로를 요구하고 있다. 이러한 요건에 적합하기 위하여 도선은 폭이 점점 좁아지고, 선의 사이의 거리가 점점 작아지고 있다. 고밀도가 조밀하게 꽉 찬 좁은 선을 위하여 은 분말은 가능한 크기가 단일하고 구형의 형태를 가져야 한다. 현재 금속 분말을 제조하는 방법으로는 화학적 환원법, 무화 또는 분쇄, 열분해법등의 물리적 과정 및 전기 화학적 과정 등이있다. 본 연구에서는 입도 분포가 좁은 구형의 은 분말을 제조하기 위하여 기상법의 하나인 분무열분해법을 도입하였다. 또한 싸이클론을 사용하므로 큰 액적들을 걸러 입도 분포를 줄였다. 은 분말의 프리커서로써는 AgNO
$_3$ 를 사용하였고 반응기의 온도는$700^{\circ}C$ 에서 100$0^{\circ}C$ 까지 변화시켰으며 운반기체로써는 5%H$_2$ 혼합가스로 20L/min에서 80L/min 변화시켜 은 분말을 제조하였다. 또한 용액의 농도는 0.2M에서 1.0M까지 변화시켰다. 용액의 농도가 0.2M이고 운반기체의 유랑이 40L/min일 경우 완전한 은 상이 관찰되었고, 입자의 크기는 약 600nm였다. -
고체전해질체로 사용되는 산소이온전도체로는
$Y_2$ O$_3$ 안정화 ZrO$_2$ 가 널리 연구되어 왔고, 실질적으로 많이 사용되고 있다. 그러나 특히 대전력을 얻고자 하는 고체전해질 연료전지 분야에 있어서는 다른 재료를 찾고자 하는 많은 노력이 이루어지고 있다. 이에 CeO$_2$ 계 세라믹스는 ZrO$_2$ 계보다 낮은 온도에서 더 높은 이온전도도를 가지고 있어 많은 주목을 받고 있다. 그러나 이 CeO$_2$ 계를 소결시키는 데는 1$600^{\circ}C$ 이상의 고온을 필요로 한다. 이 런 고온의 소결온도를 낮추기 위한 방안으로는 균일하고 미세한 출발원료를 사용하거나 소결조제를 첨가하는 것 등이 있다. 균일하고 미세한 출발원료를 제조하는 연구는 국내외에서 많이 이루어지고 있으나 소결조제 첨가에 대한 연구는 별로 이루어진게 없다. 다만 국외에서 Co$_3$ O$_4$ , Fe$_2$ O$_3$ , CoO 첨가에 의한 연구가 최근에 이루어지고 있으며, 본 연구실을 중심으로 Ga$_2$ O$_3$ ,$Al_2$ O$_3$ 첨가에 대한 연구가 이루어지고 있다. 본 연구실에서는 그간 공침법으로 제조되는 소결조제 첨가 Gd$_2$ O$_3$ -doped CeO$_2$ 분말을 사용하여 소결조제 첨가효과를 살펴보았다. -
SiC is promising materials because of its typical properties. So, SiC nanowires and rods were fabricated using various methods. Among theses methods, CVD was a effective method to growth SiC nanowire on the Si for using optical and electrical devices. SiC nanowires were synthesized by CVD using single precursors on Si substrate. To growth SiC nanowire, various metal used to catalyst. Catalyst affects rnicrostructures and growth conditions. Electric and optical properties were varied with kind of catalyst. Difference of these characteristics was due to the reactivity of catalyst and stability of growth process
-
Silica nanostructures have been attached considerable attention because of theirs potential application in mesoscopic research and the potential use of large surface area structure of catalysts. SiO2 nannowire and nanorods was synthesized various methods including thermal evaporation, chemical vapor deposition (CVD), and laser ablation methods. In this experiments, SiO2 nanowire were grown using thermal evaporation method followed by VS (Vapor-Solid) growth mechanisms. Grown SiO2 nanowires were amorphous phases because of its low growth temperatures. Grown nanowires diameters were about 20-40nm at all growth conditions, but its microstructres were different by that used substrate because of it's oxygen contents.
-
Most of all nano-structures, SiC had a high electrical conductivity and mechanical strengths ay high temperatures. So It was considered a useful materials for nanosized device materials and added materials for strength hardening. Much methods were developed for SiC nanowire and nanorods like CVD, carbothermal reduction, Laser ablation and CNT-confined reduction. These methods used the VLS (Vapor-Liquid-Solid) growth mechanism. In these experiments, SiC nanowire was grown by SLS (Sold-Liquid-Solid) growth mechanism used Graphite substrate, And we characterized its microstructure to compare with VLS growth mechanism.
-
탄화규소나 열분해 탄소는 고온 특성 및 화학적인 안정성 이 우수하여 단미 혹은 코팅재로로 소재의 성능을 향상시키기 위하여 에너지 관련 분야, 반도체 치구 분야, 방위산업 및 항공우주 분야와 원자력 분야에서 다양하게 사용된다. 특히 원자력 분야에서는 고온형 원자로의 노심 요소 부품으로 적용 및 개발을 고려하고 있으며, 대표적인 예로 수소생산용 초고온 가스냉각로의 코팅 핵연료 입자를 들 수 있다. 일반적으로 TRISO라 불리는 가스냉각로 핵연료는 구형
$UO_2$ kemel의 주변을 PyC-SiC -PyC의 삼중 코팅층으로 둘러싸는 구조를 하고 있으며, 이 코팅층들은 kernel물질이 분열하는 동안 발생되는 내부 기체 압력을 견디는 압력용기 역할과 기체나 금속 핵분열 생성물들을 가두는 확산 장벽 역할을 하게 된다. 본 연구에서는 구형의$UO_2$ 대신 선행연구를 위하여 구형 ZrO$_2$ 를 이용하여 증착온도나 시간 및 입력기체비 등의 화학증착 변수로 조절하여 SiC 및 PyC을 코팅하고, 각 변수들에 의한 증착층의 거동을 고찰하고자 하였다. -
The metallic oxide nanomaterials including ZnO, Ga
$_2$ O$_3$ , TiO$_2$ , and SnO$_2$ have been synthesized by a number of methods including laser ablation, arc discharge, thermal annealing procedure, catalytic growth processes, and vapor transport. We have been interested in preparing the nanomaterials of Ga$_2$ O$_3$ , which is a wide band gap semiconductor (E$_{g}$ =4.9 eV) and used as insulating oxide layer for all gallium-based semiconductor. Ga$_2$ O$_3$ is stable at high temperature and a transparent oxide, which has potential application in optoelectronic devices. The Ga$_2$ O$_3$ nanoparticles and nanobelts were produced using GaN single crystals, which were grown by flux method inside SUS$^{TM}$ cell using a Na flux and exhibit plate-like morphologies with 4 ~ 5 mm in size. In these experiments, the conventional electric furnace was used. GaN single crystals were pulverized in form of powder for the growth of Ga$_2$ O$_3$ nanomaterials. The structure, morphology and composition of the products were studied mainly by X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM), and high-resolution transmission electron microscopy (HRTEM).). -
NiO thin films are very attractive for use as an antiferromagnetic layer, p-type transparent conducting films, in electrochromic devices and functional sensor layer for chemical sensors, due to their excellent chemical stability, as well as optical, electrical and magnetic properties. In addition, (100)- and (111)-oriented NiO films can be used as buffer layers on which to deposit other oriented oxide films, such as c-axis-oriented perovskite-type ferromagnetic films and superconducting films, because of the similarity in symmetry of oxygen ion lattice and lattice constants between the NiO films and the oriented oxide films. Thus, controlling the crystallographic orientation and surface roughness of the NiO films for a buffer layer are very important.
-
In recent years, there has been increasing interest in quasi one-dimensional nanostructural systems, because of their numerous potential applications in various areas, such as materials sciences, electronics, optics, magnetism and energy storage. Specifically, zinc oxide (ZnO) is recognized as one of the most promising oxide semiconductor materials, because of its good optical, electrical, and piezoelectrical properties. The ZnO nanorods were synthesized using vapor-solid (VS) mechanism on soda lime glass substrate without the presence of metal catalyst. ZnO nanorods were prepared thermal evaporation of a Zn powder at 500. As-fabricated ZnO nanorods had an average diameter and length of 40nm and 3
$\mu\textrm{m}$ . Transmission electron microscopy revealed that the ZnO nanorods were single crystalline with the growth direction perpendicular to the (101) lattice plane. The influences of reaction time on the formation of the ZnO nanorods were investigated. The Photoluminescence measurements showed that the ZnO nanorods had a strong ultraviolet emission at around 380nm and a green emission at around 500nm. -
나노튜브는 반도체 재료로서 뿐만 아니라 다른 분야로까지 다양한 응용범위를 가진 물질로서 기존에는 주의 탄소를 사용하여 제작, 사용되어지고 있으나 게이트옥사이드(Gate Oxide) 물질인 지르코니아(ZrO
$_2$ ), 타이타니아(TiO2$_2$ ) 등을 이용한 나노튜브는 많이 제작되어지고 있지 못하다. 따라서 보다 나은 성질을 갖는 물질로서 나노튜브를 제작할 시 반도체 재료에서의 고집적화를 통해 좋은 성질을 갖게 할 수 있으며 여러 분야로까지 확대가 가능한 재료를 사용하여 광학 및 환경분야 등 응용범위를 넓힐 수 있다. 본 실험은 나노튜브 제작에 있어서 템플레이트의 구멍 내부를 ALD 기술을 이용하여 균일한 두께를 갖는 금속 산화물층을 성장시킨 후 템플레이트 재료의 식각을 통해 금속산화물 나노튜브가 남아있게 하여 제작하는 방법이다. -
To improve the electrochemical properties of thin-film LiCoO
$_2$ cathodes, metal oxides were coated on the LiCoO$_2$ thin films using f sputtering. Galvanostatic charge-discharge experiments showed the enhanced cycling behaviors in the metal-oxide coated LiCoO$_2$ thin films than the uncoated ones. These results are because the metal-oxide coating layer suppresses the degradation of Li-diffusion kinetics during cycling, which is related to the protection of cathode surface from the electrolytes [l-3]. The variation in the metal-oxide coating thickness ranging from 10 to 300 nm did not affect the electrochemical properties. Changes of lattice constants in the coated and bare LiCoO$_2$ thin films at different charged states will also be discussed. -
PZT(Pb(Zr,Ti)O3)는 우수한 강유전 특성을 가지기 때문에 FRAM (Ferroelectric Random Access Memory) 소자에 응용하기 위해 많은 연구가 진행되고 있다. 스퍼터에 의해 증착된 PZT는 처음에 pyrochlore상으로 존재하다가 후 열처리를 통해 이력 특성을 나타내는 perovskite상으로 천이된다. 일반적인 furnace열처리 방법은 고온에서의 장시간 열처리가 요구되고 Pb-loss현상이나 TiO2와 같은 이차상의 생성 그리고 하부 Pt전극의 roughness증가 및 crack과 같은 문제점이 있다. 최근 들어 후 열처리를 RTA로 이용하는 연구가 진행되고 있는데 이는 열처리 시간이 짧기 때문에 위와 같은 문제점을 개선할 수 있었다. 하지만 RTA방법 또한 어느 정도의 thermal budget이 존재하고 추가적 장비가 필요하며 기판의 전체적 가열공정이므로 다른 CMOS공정과 compatibility가 떨어진다. 따라서 본 실험에서는 위와 같은 문제를 해결하고자 노력을 집중하였고 이를 위한 새로운 열처리 방법을 개발하였다. 즉 Pt 하부전극에 전압(전류)을 인가하여 순간적으로 고온으로 결정화시키는 새로운 공정을 모색하였는데 이와 같은 방법은 열처리를 위한 추가적인 장비가 필요없고 국부적으로 순간적인 가열이기 때문에 glass기판에도 적합하며 RTA보다 승온시간 및 열처리 시간이 짧기 때문에 thermal budget도 줄일 수 있었다.
-
산화아연은 높은 열전도도와 열용량을 갖으며, 결정 부피의 44%만이 아연 및 산소 이온으로 채워져 있어 결함의 생성이 다양하여 여러 가지 전기적, 광전기적, 촉매 특성등을 부여할 수 있어 산업전반에 널리 이용되고 있다. 따라서, 본 연구에서는 초음파 분무 연소합성법을 이용하여 Zinc nitrate hexahydrate를 산화제로, Carbohydrazide를 환원제로 사용하여, 연소합성을 위한 에너지를 최대희 얻기 위해 산화수와 환원수의 비율이 1:1이 되게 조절하여 전구체의 산화ㆍ환원 반응을 이용하여 액적의 체류시간, 농도, 온도, filtering 효과등을 조절하면서 액적 단위로 연소반응을 유도함으로써 부가적인 하소과정이 필요없이 상전이가 완료된 구형의 나노크기 ZnO 분말을 in-situ로 제조하여 입자의 크기와 형 태, 결정상등을 분석하였다.
-
Electrochromism (EC) is defined as a phenomenon in which a change in color takes place in the presence of an applied voltage. Because of their low power consumption, high coloration efficiency, EC devices have a variety of potential applications in smart windows, mirror, and optical switching devices. An EC devices generally consist of a transparent conducting layer, electrochromic cathodic and anodic coloring materials and an ion conducting electrolyte. EC has been widely studied in transition metal oxides(e.g., WO
$_3$ , NiO, V$_2$ O$\sub$ 5/) Among these materials, WO$_3$ is a most interesting material for cathodic coloration materials due to its lush coloration efficiency (CE), large dynamic range, cyclic reversibility, and low cost material. WO$_3$ films have been prepared by a variety of methods including vacuum evaporation, chemical vapor deposition, electrodeposition process, sol-gel synthesis, sputtering, and laser ablation. Sol-gel process is widely used for oxide film at low temperature in atmosphere and requires lower capital investment to deposit large area coating compared to vacuum deposition process. -
전기변색(electrochromism)은 전기화학적 산화, 환원 과정을 통해 가역적인 광학특성의 변화를 갖는 현상을 말하며, 이를 이용한 전기변색소자(electrochromic device)는 전력 소모가 적고 변색효율이 크다는 장점으로 인해 smart window, display, mirror 등에 응용될 수 있다. 전기변색소자는 구조상 투명 기판, 투명 전도체, 환원 착색 물질 (cathodic coloration material), 산화 착색 물질(anodic coloration material), 그리고 투명 이온 전도체로 구성된다. 일반적으로 투명 기판으로는 열적 안정성이 좋은 유리기판을 사용하여 window에 응용할 수 있는 장점이 있는 반면 다양한 형태를 갖는 소자를 제작하기에는 그 한계가 있다.
-
현재, 메탈의 내부식성 코팅막 제조에 사용되고 있는 크로메이트 기반 코팅제는 유독성 물질에 대한 환경 규제에 따라 조만간 사용이 금지 될 예정이다. 본 연구에서는 이러한 유독성 금속 내부식성 코팅제를 대체할 새로운 환경 친화적인 코팅 재료로서 A1OOH 나노졸이 분산된 ZrOCl
$_2$ ㆍ8$H_2O$ -GPS(3-Glycidoxypropyltrimethoxysilane)하이브리드 졸을 제조하고 이의 내 부식성 특성에 대하여 조사하였다. AlOOH 졸이 첨가된 유/무기 하이브리드 졸은 염화 알루미늄을 염기로 침전 시킨 수산화 알루미늄 침전물에ZrOCl$_2$ ㆍ8$H_2O$ 를 10 ~ 20 wt% 첨가하고 열처리한 후 여기에 GPS를 AlOOH에 대하여 4 ~ 6몰 배 첨가하여 제조하였다. 제조된 하이브리드 형 졸은 가시관 투광성이 우수하며 시간에 따른 범도 변화가 거의 없었다. 이 코팅 졸을 아연 도금 강판에 딥 코팅법으로 코팅한 후, 상온~20$0^{\circ}C$ 에서 열처리하여 염수 시험법으로 하이브리드 졸의 조성 및 열처리 조건에 따른 코팅막의 내부식성 특성을 조사하였다. 또한, 코팅막의 두께를 전자 현미경으로 관찰하였고, 코팅막 경도는 연필 경도계로 조사하였다. -
The advent of photonic technologies in the field of communications and data transmission has been heavily increasing the demand in integrated optical (IO) circuits capable of accomplishing not only simple tasks like signal, but also more sophisticated functions like all-optical signal routing or active multiplexing/demultiplexing. In the last decade, sol-gel technology has been widely used to prepare optical materials. Sol-gel processes show many promises for the development of low-loss, high-performance glass integrated optical circuits. However, crack formation is likely to occur during heat treatment in thick gel films. In order to overcome the critical thickness limitation, the organic-modified silicate has been widely used. In this case coating matrices have been prepared from the organo-silanes of T structures, acidic catalyst and the as-prepared gel films have been heat-treated below 200
$^{\circ}C$ to avoid the crack formation and the degradation of organic components. However, the films prepared in the acidic condition and the low heat temperature make the films contain high OH groups which is the major optical loss function. In this work, C$\sub$ 6/H$\sub$ 5/SiO$\sub$ 1.5/ films were prepared on silicon substrate by sol-gel method using base catalyst in a PTMS/NH$_4$ OH/H$_2$ O/C$_2$ H$\sub$ 5/OH system. The sol showed spinable viscosity at 50 wt% of solid content, and neglectable viscosity change with time. The films were crack-free and transparent after curing at 450$^{\circ}C$ , and highly condensed to minimize OH content in C$\sub$ 6/H$\sub$ 5/SiO$\sub$ 1.5/ networks. The effects of heat treatment of the films are characterized on the critical thickness, the chemical composition and the refractive indices by means of SEM, FT-IR, TGA, prism coupler, respectively. -
Second-order nonlinear optical(NLO) materials have been extensively studied for applications in photonic devices, such as frequency doubling and electro-optical(EO) modulation, because of their large optical nonlinearity, excellent processibility, low dielectric constant, and high laser damage thresholds. The poling behaviour of NLO chromophore in organic/inorganic matrixes showed the randomization of poled NLO chromophore in the absence of poling Held. The liquid crystal molecules in a droplet showed a long-range orientational order along a director. Therefore, liquid crystal effects on poling behaviour of NLO chromophore dispersed in organically modified inorganic sol-gel materials were investigated. Using sol-gel process for the development of NLO material has received increasing attention, Organically modifked inorganic NLO sol-Eel materials are obtained via incorporation of the organic NLO active chromophore into an alkoxysilane based inorganic network. One of the most important thing in this works was that tetraethoxysilane(TEOS) and methyltrimathoxysilane(HTMS) were used as precursor followed by hydrolysis and condensation without using any acidic catalyst during the process. The NLO chromophores in the liquid crystal nanodomains were well mixed with I/O hybrid matrix, deposited on transparent ITO-coated glasses. The poling behaviour of liquid crystal effects of NLO chromophore dispersed in I/O hybrid matrix were investigated by UV-vis spectroscopy. Size distribution and morphology of the NLO chromophores doped in the liquid crystal nanodomains dispersed in I/O hybrid matrix were investigated by SEM.
-
최근에 강유전체 매체와 원자력 현미경 (Atomic Force Microscopy, AFM)을 이용한 초고밀도 정보 저장 장치에 대한 연구가 활발히 진행되고 있다. 이와 아울러 나도 크기의 도메인에 대하 연구에 있어서 PZT 박막의 분극 방향에 따른 SrRuO
$_3$ 의 저항 변화를 AFM 탐침을 이용하여 감지하는 방법을 제안하였다. 본 연구에서는 Metal tip/semiconductor/barrier oxide/ferroelectric 구조에서 강유전체 분극에 의한 저항 변화의 가능성을 실험하고자, 이와 등가 구조인 Pt tip/n-Si/SiO$_2$ 구조에서 Pt 탐침과 반도체 층 사이의 I-V 특성을 측정함으로써, 게이트 전압에 따른 반도체 층의 저항변화에 대해서 분석해 보았다. 그 결과 게이트 전압에 따라서 과밀 지역 (accumulation layer)과 공핍 지역 (depletion layer)이 형성됨에 따라서 반도체 층의 정항이 변하여 I-V 특성이 변하게 됨을 관찰하였으며, 이 결과로부터 분극 방향에 따라서도 반도체 층의 저항이 변할 수 있음을 알 수 있었다. -
-
The fast evolution in the fold of optical communication systems demands powerful optical information treatment. These functions can be performed by integrated optical systems. A key component of such systems is erbium doped waveguide amplifier(EDWA). The intra 4f radiative transition of Er at 1.5
$\mu\textrm{m}$ is particularly interesting because this wavelength is standard in optical telecommunications. The fabrication of waveguide amplifier for integrated optics using sol-gel process has received an increasing attention. Potential advantage of lower cost by less capital equipment and easy processing makes this process an attractive alternatives to conventional technologies like flame hydrolysis deposition, ion exchange and chemical vapor deposition, etc. In addition, sol-gel process has been found to be extremely suitable for the control of composition and refractive index related directly with optical properties. The main drawback of such an amplifier with respect to the EDWA is the need for a much higher Er3+ concentration to compensate for the smaller interaction length. However, the high doping of Er might be resulted in the non-radiative relaxation by clustering of Er ions End co-operative upconversion. In order to solve this problem, we investigate the possibility of avoiding short Er-Er distances by encapsulation of Er3+ ions in hosts such as organic-inorganic hybrid materials. For inorganic-organic hybrid sols, methacryloxypropyltrimethoxysilane (MPTS), zirconyl chloride octahydrate and erbium(III) chloride hexahydrate were used as starting materials, followed by conventional sol-gel process. It was observed by TEM that nano sols having core/shell toplology were formed, depending on the mole ratio of Zr/Er. The surface roughness for the coatings on Si substrate was investigated by AFM as a function of Zr/Er ratio. The local environment and vibrational Properties of Er3+ ions were studied using Near-IR, FT-IR, and UV/Vis spectroscopy. Nano hybrid coatings derived from polymer and Er doped encapsulation Eave the good luminescence at 1.55$\mu\textrm{m}$ . -
In fiber optic networks, system size and cost can be significantly reduced by development of optical components through planar optical waveguides. One important step to realize the compact optical devices is to develop planar optical amplifier to compensate the losses in splitter or other components. Planar amplifier provides optical gain in devices less than tens of centimeters long, as opposed to fiber amplifiers with lengths of typically tens of meters. To achieve the same amount of gain between the planar and fiber optical amplifier, much higher Er doping levels responsible for the gain than in the fiber amplifier are required due to the reduced path length. These doping must be done without the loss of homogeniety to minimize Er ion-ion interactions which reduce gain by co-operative upconversion. Sol-gel process has become a feasible method to allow the incorporation of Er ion concentrations higher than conventional glass melting methods. In this work, Er-doped
$SiO_2$ -A1$_2$ $O_3$ films were prepared by two different method via sol -Eel process. Tetraethylorthosilicate(TEOS)/aluminum secondary butoxide [Al (OC$_4$ $H_{9}$ )$_3$ ], methacryloxypropylcnethoxysaane(MPTS)/aluminum secondary butofde [Al(OC$_4$ $H_{9}$ )$_3$ ] systems were used as starting materials for hosting Er ions. Er-doped$SiO_2$ -A1$_2$ $O_3$ films obtahed after heat-treating, coatings on Si substrate were characterized by X-ray din action, FT-IR, and N-IR fluorescence spectroscopy. The luminescence properties for two different processing procedure will be compared and discussed from peak intensity and life time. -
Optical amplificator have been used to compensate the losses in the optical signal transmission and processing. Today, there has been increasing demand for the very low cost optical amplifier. Sol-gel offers considerable potential both low cost manufacture, and for great flexibility in materials composition and structure. In addition, the sol-gel process is a very attractive method for producing porous materials with controlled structure. In this work, we present the potoluminescence properties of Er doped A1
$_2$ O$_3$ /SiO$_2$ films. Erbium doped alumina nano sol was prepared by Al(NO$_3$ )$_3$ .9$H_2O$ and Er(NO$_3$ )$_3$ .5$H_2O$ through hydrolysis and peptization, and then GPS (3-Glycidoxypropyltrimethoxysilane) was added into Er doped alumina nano sol for organic- inorganic hybridization. Er doped A1$_2$ O$_3$ /SiO$_2$ film was obtained by spin coating, dip coating and thermal treatment from 30$0^{\circ}C$ ~120$0^{\circ}C$ , and there were crack-free after thermal treatment. The thickness of film was measured SEM, and the porosity of film was characterized by BET and TGA. The crystal phase of Er doped A1$_2$ O$_3$ /SiO$_2$ were determined by XRD. Finally, the photoluminescence properties of Er doped A1$_2$ O$_3$ /SiO$_2$ films will be discuss with the consideration of porosity and crystallity. -
Low temperature co-fired ceramic (LTCC) technology offers significant benefits over the other established packaging technologies for high density, high microwave frequency, and fast signal application. Most conventional electroceraramics do not meet the basic requirements in respect of sinterability for LTCC technology. Attention is, therefore, focused on the role of glasses because of the capability they supply with lower sintering temperatures. In this study, commercial ceramic (MBRT-90) in the system BaO-N
$d_2$ $O_3$ -Ti$O_2$ (BNT: 40 ~ 80 wt%) and L$a_2$ $O_3$ -$B_2$ $O_3$ -Ti$O_2$ glass (LBT;60 ~ 20 wt%) were prepared. These glass/ceramic composites were evaluated for sintering behavior, phase evaluation, densities, interface reaction, crystallinity, microstructure and microwave dielectric properties. It was found that the addition LBT glass frits significantly lowered the sintering temperature to below 90$0^{\circ}C$ and as temperature increased (750~90$0^{\circ}C$ ) densification developed dynamically which was meant to be as over 95% of relative density. It is supposed that in the microstructure, the grain size was increased accompanying with the formation of different phases such as LaB$O_3$ and Ti$O_2$ under the condition of increasing sintering temperature. The sintered bodies represented applicable dielectric properties, namely 20 ~ 40 for$\varepsilon_{{\gamma}}$ , ~ 10000 GHz for Q*$f_{0}$ and 10~80 ppm/$^{\circ}C$ for$\tau$ $_{f}$ . The results suggest that the composite is one of feasible candidates for the microwave use in LTCC technology.y.e use in LTCC technology.y. -
많은 압전 후막은 여러 감지소자, 통신 및 사무자동화 기기, 전기 및 전자부품, 의료장비 및 국방산업에 까지 널리 응용되어 왔다. 그 중에서도 압전특성이 뛰어난 PZT 후막은 마이크로 펌프, 밸브, 헤드, 모터, 트랜스듀서 뿐 아니라 최근 바이오칩용 센서와 액추에이터로서 널리 연구되고 있다. 또한 마이크로 센서와 액추에이터 의 제작 및 구동을 위한 MEMS 기술의 도입으로 실리콘 베이스의 소자 개발이 집중되고 있다. 스크린 프린팅 방법은수 마이크론에서 수십 마이크론 후막의 실현이 용이하고 비교적 경제적이며 소자신뢰도가 높고 대량생산에 유리하여 활발한 연구가 진행 중이다. 그러나 후막은 벌크에 비해 기공률이 높고, 또 소자응용에 있어서 고온소결 시 MEMS공정을 위한 실리콘 베이스 기판과의 확산 및 반응에 의 한 계면 및 활물질 성능의 저하가 문제가 되고 있다. 따라서 본 연구에서는 스크린 프린팅과 더불어 졸 코팅 방법의 도입으로 후막의 성형 및 소결 밀도를 높임과 동시에 여러 확산 방지 막의 증착으로 capacitor 형 PZT 후막의 물성 및 전기 적 특성을 향상시키고자 하였다.
-
$UO_2$ -5wt%CeO$_2$ 분말에 첨가제 Li$_2$ O을 첨가하여 소결분위기, 온도 및 첨가량이 소결체의 치밀화와 결정립성장에 미치는 영향을 조사하였으며,$UO_2$ -5wt%CeO$_2$ 소결체의 산화에 의한 분말화 거동을 산화조건에 따라 측정하여 이를$UO_2$ 소결체의 분말화 및 산화거동과 비교 분석하였고, 불량 scrap 소결체를 재사용하기 위해 산화실험에서 얻은 최적 산화조건으로 소결체를 분말화하여 원료분말에 첨가, 분말처리후 소결하여 이것이 소결체의 특성에 미치는 영향을 분석하였다.$UO_2$ -5wt%CeO$_2$ 에 Li$_2$ O를 첨가하여 소결할 경우, 온도에 대한 영향은 크지 않았으나 첨가량 및 분위기에 따른 치밀화와 결정립성장이 다르게 나타났다. 산화실험에서는$UO_2$ -5wt%CeO$_2$ 혼합소결체시료가$UO_2$ 보다 산화에 필요한 유도시간이 길게 나타났으며, 산화온도가 증가함에 따라 무게증가는 감소하였다. 분말처리에서 혼합-분쇄한 경우에는 scrap 첨가량에 따라 밀도는 감소하나, 결정립이 성장하였으며, 전체 기공분율은 증가하였다. -
Dichlorobenzen과 같은 휘발성 유기물질(Volatile Organic Compound)은 산업폐수 및 폐가스, 폐기물등이 다양한 형태로 대기 중에 존재하면서 심각한 환경문제를 유발시키고 있다 따라서, 본 연구에서는 TiO
$_2$ 에 SiO$_2$ 를 첨가하여 열처리시 anatase상에서 rutile상으로 전이되는 것을 제어하며 비표면적을 크게 하여 휘발성유기 물질에 대한 광촉매 활성을 증진시키고자 두가지 합성방법, 즉 졸겔 공정과 수열합성 공정을 사용하여, 공정조건을 변화시키면서, 광촉매활성이 가장 우수한 실험조건을 결정하였다. -
The effects of film thickness on the dipolar relaxation of ferroelectric PbTiO
$_3$ films were investigated in the microwave-frequency range. The dielectric constants ($\varepsilon$ ) and the dielectric losses (tan$\delta$ ) were successfully measured up to 30 ㎓ using interdigital capacitors. The PbTiO$_3$ thin films were deposited on the quartz substrate at room temperature and postannealed in oxygen atmosphere. As the film thickness increased, its grain size and tetragonality were enhanced. And the dipolar relaxation behavior began to appear in the thin films with approximately 20 nm thickness, since ferroelectric domains could not be formed hi small grains. The observed relaxation frequency (above 10 ㎓) was higher than the previous values reported in bulk ceramics. It can be correlated with the extremely small domain size of the thinfilms as shown by TEM. And, the Rayleigh constant [1] from domain wall motions was alsoinvestigated by LCR meter at 100 KHz. -
최근 생활수준 및 생활환경의 향상에 힘입어 청결 및 쾌적을 추구하는 것이 사회적 현상으로 나타나고 있다. 요즘처럼 현대화된 시대에 '왜 항균제가 필요한 것일까' 라는 자연스러운 의문이 발생하게 되지만 현실은 항균제를 이용한 다양한 항균제품, 항균가전제품, 항균가공 내ㆍ건자재 및 항상 신선한 선도를 유지할 수 있는 제품 등이 호황을 누리고 있는 것이 현실이며 그 시장 규모는 3,000억원을 상회하고 있다. 이러한 항균 가공제품이 호평을 받는 사회적 배경은 우리를 둘러싼 주변 삶의 경제환경 신장에 따른 쾌적성 추구와 밀접한 관련이 있을 것이다. 이처럼 항균기능이 부여된 제품이 호평을 받고 있음에도 불구하고 국내에서는 항균제품의 주 기능 역할을 하는 항균제에 대한 개발은 초기단계로 국내 시장에서 많은 연구가 이루어지고 있는 실정이다. 국내의 경우, 유기 항균제의 사용이 전체 사용량의 80%를 차지하고 있고, 제올라이트나 인산염을 무기 담체로 항균성 금속 이온(Ag, Zn)을 물리적으로 결합시킨 무기 항균제가 개발된 것이 최근의 기술 수준이다. 이러한 유기 항균제는 미생물의 번식을 억제 또는 사멸시키기 위한 것이지만, 생체의 피부 세포에도 영향을 줄 수 있는 피부 자극원의 하나로 그 사용이 점차로 제한되고 있다. 무기 항균제는 안정성이나 항균력에서는 유기항균제 보다는 뛰어나지만 가격(경제성)이나 색(Color), 사용성 (Application)측면에서는 여러 가지 문제를 나타내고 있다. 귀금속이므로 가격이 고가이며, 금속고유의 색으로 회귀하려는 플라즈몬 효과에 의해 색(Color)의 조절이 불가능, 분말형태이므로 지류에 첨가시키는 방법 등이 큰 문제로 부각되고 있다. 이 러한 문제점을 해결할 수 있는 기술이 나노기술이다 나노기술(Nano-Technology)은 물질을 분자, 원자단위에서 규명하고 제어하는 기술로서 원자, 분자를 적절히 결합시킴으로서 기존 물질의 변형, 개조는 물론 신물질의 창출을 가능케 하는 기술이다. 나노기술은 여러분야로 세분화되지만 그중 산업화에 가장 접목이 용이한 기술이 나노입자(Nano-Particle)제어 기술이며, 나노입자는 통상적으로 입자크기가 수 nm에서 100nm이하 크기의 넓은 표면적을 가진 콜로이드 상의 불균일 분산입자를 말한다. 나노입자(Nano-Particle)는 기존의 입자(
$\mu\textrm{m}$ )보다 물리적 및 광학적 성질이 우수하고 그 자체의 기능면에서도 탁월하기 때문에 국내외의 여러 산업에서도 기존제품의 품질 향상 및 기능성부여, 기존 공정의 개선 및 생산 원단위 절감 등 경제적, 생산적인 측면을 고려하여 적합한 나노입자를 채택, 적용하고자 하는데 많은 노력을 기울이고 있다. 이에 천연 항생제로 알려진 Ag, 즉 항균 및 탈취, 전기적 기능이 우수한 은(silver, Ag)을 나노(nm) 입자희 제조하고 이와 더불어 이산화티탄(TiO2) 복합 분체를 제조하여 제조된 나노 입자 및 복합 분체를 사용함으로써 환경 친화적이며 다양한 용도로 활용 가능한 소재 개발에 연구 내용을 두고 있다. 본 연구를 통한 기대 효과로서 환경성 측면에서는 환경 친화적인 나노 입자의 제조로 기능성 나노 입자에 친 환경성을 부여하여 유기계 항균제 대체 효과를 발현하고 이를 제품에 적용함으로써 다양한 기능을 가진 신소재 제조에 있다. 또한 경제적인 측면에서도 고부가 가치의 제품 개발에 따른 새로운 수요 창출과 수익률 향상, 기존의 기능성 안료를 나노(nano)화하여 나노 입자를 제조, 기존의 기능성 안료에 대한 비용 절감 효과등을 유도 할 수 있다. 역시 기술적인 측면에서도 특수소재 개발에 있어 최적의 나노 입자 제어기술 개발 및 나노입자를 기능성 소재로 사용하여 새로운 제품의 제조와 고압 기상 분사기술의 최적화에 의한 기능성 나노 입자 제조 기술을 확립하고 2차 오염 발생원인 유기계 항균제를 무기계 항균제로 대체할 수 있다. 이와 더불어 안료의 형상 균일화 기술을 확보하여 가격 경쟁력 및 부가가치 향상을 기대할 수 있다. -
Effect of WC Addition on the Mechanical and Magnetostrictive Properties of Fe-Co-Ge Alloy CompositesFe-Co-Ge 자기변형 합금 복합체는 낮은 자기장에서도 높은 자기 변형 민감도와 고분자 바인더에 의한 절연으로 인하여 저항이 크고, 와전류 손실이 작아 고주파영역 에서도 우수한 자기변형을 가지는 것으로 보고되고 있다. 그러나 희토류계 자기변형 복합체에 비하여 그 성능은 열세이지만, 제조 단가가 저렴하여 높은 성능/가격 대비 효과를 가지므로 초음파 발진소자와 같은 대량의 상업적 응용분야에 적용 가능한 소재로 있다. [1]. 한편 이와 같은 자기 변형 복합체는 고분자 바인더와 결합되어 있어 그 기계적 특성의 향상과 사용주파수 대역의 증가가 요구되어진다. 이에 이들 문제점을 보안하기 위하여 670 GPa의 큰 영률을 갖는 WC 분말의 첨가에 의한 합금복합체의 기계적 및 자기변형 (정적 및 동적) 특성에 미치는 영향에 관하여 연구하고자 하였다.
-
자성유체는 암 치료와 질병진단 등과 같은 다양한 분야에서 유용한 응용의 가능성을 갖는다 [1]. 생리적 염도인 중성 pH에서 생체조직과 잘 교합하고, 높은 안정성을 가지는 자성유체는 자성 나노입자 표면에 화학적으로 흡착된 계면활성제 종류에 따라 달라진다 [2]. 본 실험에서는 화학적 공침법을 이용하여 Fe
$^{2+}$ 와 Fe$^{3+}$ 의 몰비가 1:2인 수용액에 pH 12 이상의 과잉 알칼리(NH$_4$ OH 12ml)를 첨가시켜 마그네타이트 콜로이드 용액을 제조하였다. 광감제로는 hematoporphyin을 사용하였으며 투입량은 1$\times$ $10^{-3}$ mol/l 였다. 또한 1차 및 2차 계면활성제로는 decanoic acid와 starch, citric acid, oleic acid 등이 각각 사용되었다. 각 계면활성제가 코팅된 자성미립자의 특성을 조사하기 위해 동결 건조 후 VSM, FT-IR 및 TEM 분석을 수행하여 자기적 특성과 코팅표면의 결합구조 및 미시적 구조를 분석하였다. 그리고, 각각의 계면활성제가 코팅된 자성유체의 독성을 조사하기 위해 rat를 이용한 생체실험이 병행되었다. -
If rare earth ions could be activated by electrical means after introducing into an appropriate semiconductor host, it might be possible to achieve the electrically-driven optical amplifier. Futhermore, some groups report that rare earth doped semiconductor nanoparticls show the sensitized emission. In this study, we try to prepare the Er/PbS nanoparticles encaupsulated by phenyl modified silica shell via sol-gel process. Er/PbS nanoparticles were characterized by UV-vis absorption, XRD, FT-IR and TEM etc. Finally we will present the luminescence properties of Er doped PbS nanoencapsulation.
-
탄소/탄소 복합체의 내산화 코팅을 위하여 산화티탄, 산화알루미늄, 산화이트륨 등을 포함하는 여러 가지 인산염계 유리를 제조하였다. 유리 산화물조성 몰비는 인산에 대하여 인산알루미늄을 15몰%, 30몰%, 45몰%로 하였고 산화티탄은 70몰%, 산화 이트륨은 25몰%이 되도록 코팅 액을 제조하였다. 제조 된 코팅액은 석영 도가니에서 열처리 하여 급냉 시켰으며, 급냉 시키고 다시 130
$0^{\circ}C$ , 1시간 동안 열처리하여 유리를 제조하였다. 제조된 유리의 열중량, 열팽창율, 열전도도를 분석하여 탄소 복합체와의 적합성을 조사하고, 내산화성 시험을 위해 탄소/탄소 복합체에 코팅액을 도포하여 산화 감량 비율을 측정하였다. 엑스선 회절분석기와 적외선 분광기를 통하여 인산염 유리의 구조분석을 실시하고 비커스 미세 경도 시험기를 이용하여 기계적 물성을 측정하였다. -
질석(vermiculite)을 출발물질로 하여 30
$0^{\circ}C$ 에서 4시간 열처리하여 습식분쇄하고 325mesh 이하의 입자를 선별하여 수열조건에서 양이온 계면활성제인 hexadecyl trimethylammonium bromide (C$_{16}$ TABr)를 층간 삽입시켜 유기화 질석을 제조하였다. 생성물을 XRD, TGA, FT-IR 등을 이용하여 분석하였고, 합성 및 층간 삽입조건을 조사하였다. 질석에$C_{16}$ TABr를 층간 삽입을 확인하기 위하여 XRD 분석 결과 d-spacing 값이 9.6$\AA$ 에서 33.5$\AA$ 으로 증가됨을 확인하였고, 열중량 시험결과 출발물질과 비교하여 유기화 질석은 25%감량이 확인되었다. 위 결과 값으로부터 질석의 유기화 처리로 인하여 층간 간격이 확장되었음을 확인하였다. 일반적으로 층간 간격이 넓을수록 고분자가 층 사이에 보다 쉽게 삽입될 수 있으며 고분자 매트릭스 안에서 층상화합물의 분산 및 박리가 용이해진다. 따라서 본 연구 결과로부터 합성된 유기화 질석은 고분자 나노복합재로 사용 가능성을 제시하고 있다. -
This paper presents the work peformed in a program developing composite material which properties satisfy structural and thermal requirements for aircrafts and spacecrafts. In the aerospace vehicle structures, the specific strength of the materials is one of the important requirements and this is why polymer matrix composite material with reinforced carbon fiber is widely used. However, the mechanical properties of the composite material have been known to be dependent on processing and this difficulties in evaluation have caused a lot of mechanical tests for each batch.
-
wide bind gap과 wurtzite hexagonal structure를 가지고 있으며 청색 발광 및 청자색 레이저 특성을 보이는 III-V족 화합물반도체 GaN는 laser diodes (LD) 및 light emitting diodes (LED) 재료로 주목받고있는 주요 전자재료이다. 본 연구에서는 GaN를 chemical vapor deposition (CVD) 법을 이용하여 vapor-liquid-solid (VLS) mechanisum에 의하여 GaN나노와이어 형태로 성장시켰다. 기판은 (001)Si을 사용하였고 suputtering을 이용하여 GaN와 AlN의 double buffer layer (DBL)를 증착시켰으며 촉매로는 Ni을 사용하였다. 또한, 원료로는 고순도 Ga금속과 NH
$_3$ gas를, carrier gas로는 Ar을 사용하여 GaN/AlN/(001)Si 위에 GaN 나노와이어를 성장시켰다. 성장된 GaN 나노와이어는 DBL의 두께, Ga source의 양, 튜브 안의 압력, 튜브 안의 위치 등의 제 공정변수에 따라 tangled, straight 등의 다양한 형상을 보였으며 지름은 약 30~100 nm, 길이는 수$\mu\textrm{m}$ 로 관찰되었다. GaN나노와이어의 결정성, 형상 및 발광특성 등을 x-ray diffraction (XRD), photoluminesence (PL), scanning electron microscope (SEM), transmision electron microscope (TEM) 등을 이용하여 측정하였으며 제 공정변수와의 상관관계를 규명하였다. -
열화학기상증착법(Thermal-CVD)을 이용하여 SOI(snilicon-on-insulator)기판과 실리콘기판 상에 단결정 3C-SiC 이종박막을 동시에 성장하고, 그 특성을 비교 분석하였다. 결정성 평가로는 X-선 회절(XRD)분석과 Raman 산란 분광분석, 그리고 투과전자현미경을 이용하였고, 잔류 웅력 비교 분석으로는 laser scanning 방법 과 Raman 산란 분광분석의 3C-SiC LO peak의 위치변화, 그리고 X-선 회절분석의 3C-SiC(004) peak의 위치변화를 이용하였다. 그 결과 SOI 기판과 실리콘 기판상에 고품위의 단결정 3C-SiC 박막이 성장됨을 확인하였고, SOI 기판을 사용한 경우 실리콘 기판에 비해 성장된 3C-SiC 이종박막의 잔류 응력이 실제로 감소됨을 확인하였다.
-
Hg
$\sub$ l-x/Cd$\sub$ x/Te (MCT) was grown by hot wall epitaxy. Prior to the MCT growth, the CdTe (111) buffer layer was grown on the GaAs substrate at the temperature of 590$^{\circ}C$ for 15 min. When the thickness of the CdTe buffer layer was 5$\mu\textrm{m}$ or thicker, the full width at half maximum values obtained from the x-ray rocking curves were found to significantly decrease. After a good quality CdTe buffer layer was grown, the MCT epilayers were grown on the CdTe (111) /GaAs substrate at various temperatures in situ. The crystal quality for those epilayers was investigated by means of the x-ray rocking curves and the photocurrent experiment The photoconductor characterization for the epilayers was also measured The energy band gap of MCT was determined from the photocurrent measurement and the x composition rates from the temperature dependence of the energy band gap were turned out -
A stoichiometric mixture of evaporating materials for CuGaSe2 single crystal thin films was prepared from horizontal electric furnace. Using extrapolation method of X-ray diffraction patterns for the polycrystal CuGaSe2, it was found tetragonal structure whose lattice constant at and co were 5.615
${\AA}$ and 11.025${\AA}$ , respectively. To obtain the single crystal thin films, CuGaSe2 mixed crystal was deposited on thoroughly etched semi-insulating GaAs(100) substrate by the hot wall epitaxy (MWE) system. The source and substrate temperatures were Slot and 450$^{\circ}C$ , respectively. The crystalline structure of the single crystal thin films was investigated by the photoluminescence and double crystal X-ray diffraction (UXD). The carrier density and mobility of CuGaSe2 single crystal thin films measured with Hall effect by van der Pauw method are 5.0l${\times}$ 10$\^$ 17/ cm$\^$ -3/ and 245$\textrm{cm}^2$ /V$.$ s at 293K, respectively. The temperature dependence of the energy band gap of the CuGaSe2 obtained from the absorption spectra was well described by the Varshni's relation, Eg(T) = 1.7998 eV - (8.7489${\times}$ 10$\^$ -4/ eV/K)T$^2$ /(T + 335 K. After the as-grown CuGaSe2 single crystal thin films was annealed in Cu-, Se-, and Ca-atmospheres, the origin of point defects of CuGaSe2 single crystal thin films has been investigated by the photoluminescence(PL) at 10 K The native defects of V$\_$ CU/, V$\_$ Se/, Cu$\_$ int/, and Se$\_$ int/ obtained by PL measurements were classified as a donors or accepters type. And we concluded that the heat-treatment in the Cu-atmosphere converted CuGaSe2 single crystal thin films to an optical n-type. Also, we confirmed that Ga in CuGaSe2/GaAs did not form the native defects because Ga in CuGaSe2 single crystal thin films existed in the form of stable bonds. -
-
수평 전기로에서 ZnIn
$_2$ S$_4$ 다결정을 합성하여 HWE(Hot Wall Epitaxy)방법으로 2nIn2S4단결정 박막을 반절연성 GaAs(100)기판 위에 성장시켰다. ZnIn2S4 단결정 박막은 증발원의 온도를 610$^{\circ}C$ , 기판의 온도를 450$^{\circ}C$ 로 성장시켰고 성장 속도는 0.5$\mu\textrm{m}$ /hr로 확인되었다. ZrIn2S4 단결정 박막의 결정성의 조사에서 10 K에서 광발광(photoluminescence) 스펙트럼이 433 nm (2.8633eV)에서 exciton emission스펙트럼이 가장 강하게 나타났으며, 또한 이중결정 X-선 요동곡선(DCRC)의 반폭치(FWHM)도 133 arcsec로 가장 작아 최적 성장 조건임을 알 수 있었다. Hall 효과는 van der Pauw방법에 의해 측정되었으며, 온도에 의존하는 운반자 농도와 이동도는 293K에서 각각 8.51$\times$ $10^{17}$ electron/$cm^{-3}$ 291$\textrm{cm}^2$ /v-s였다. ZnIn2S4 단결정 박막의 광전류 단파장대 봉우리들로부터 10 K에서 측정된$\Delta$ Cr(crystal field splitting)은 0.1678 eV,$\Delta$ So(spin orbit coupling)는 0.0148 eV였다. 10 K의 광발광 측정으로부터 고품질의 결정에서 볼 수 있는 free exciton 과 매우 강한 세기의 중성 주개 bound exciton등의 피크가 관찰되었다. 이때 중성 주개 bound exciton의 반치폭과 결합 에너지는 각각 9 meV와 26 meV 였다. 또한 Haynes rule에 의해 구한 불순물의 활성화 에너지는 130 meV 였다.다. -
수평 전기로에서 CdIn2Te4 다결정을 용융법으로 합성하고 Bridgman법으로 tetragonal structure의 c축에 평행한 CdIn2Te4 단결정을 성장시켰다. c축에 평행한 시료의 광흡수와 광전류 spectra를 293K에서 10K까지 측정하였다. 광흡수 spectra에 의해 band gap Eg(T)는 varshni공식에 따라 계산한 결과 1.4753eV-(7.78
$\times$ $10^{-3}$ eV/K)T$^2$ /(T+2155K)임을 확인하였다. Hall 효과는 van der Pauw 방법에 의해 측정되었으며, 온도에 의존하는 운반자 농도와 이동도는 293K에서 각각 9.01$\times$ $10^{16}$ /㎤, 219$\textrm{cm}^2$ /V.S였다. 광전류 스펙트럼으로부터 Hamilton matrix(Hopfield quasicubic mode)법으로 계산한 결과 crystal field splitting$\Delta$ cr값이 0.2704 eV이며 spin-orbit$\Delta$ so 값은 0,1465 eV임을 확인하였다. 10K일 때 광전류 봉우리들은 n=1일때 Al-, Bl-와 Cl-exciton 봉우리임을 알았다. -
수평 전기로에서 CuGaTe2 다결정을 합성하여 HWE 방법으로 CuGaTe2 단결정 박막을 반절연성 GaAs(100) 위에 성장하였다. CuGaTe2 단결정 박막은 증발원과 기판의 온도를 각각 67
$0^{\circ}C$ , 41$0^{\circ}C$ 로 성장하였다. 이때 단결정 박막의 결정성이 10K에서 측정한 광발광 스펙트럼은 954.5nm (1.2989eV) 근처에서 exciton emission 스펙트럼이 가장 강하게 나타났으며, 또한 이중결정 X-선 요동곡선(DCRC)의 반폭치(FWHM)도 139arcsec로 가장 작게 측정되어 최적 성장 조건임을 알 수 있었다. Hall 효과는 van der Paw방법에 의해 측정되었으며, 온도에 의존하는 운반자 농도와 이동도는 293K에서 각각 8.72$\times$ $10^{23}$ 개/㎥, 3.42$\times$ $10^{-2}$ $m^2$ /V.s였다. 상온에서 CuGaTe2 단결정 박막의 광흡수 특성으로부터 에너지 띠간격이 1.22 eV였다 Band edge에 해당하는 광전도도peak의 온도 의존성은 Varshni 관계식으로 설명되었으며, Varshni 관계식의 상수값은 Eg(0) = 1.3982 eV,$\alpha$ = 4.27$\times$ $10^{-4}$ eV/K,$\beta$ = 265.5 K로 주어졌다. CuGaTe2 단결정 박막의 광전류 단파장대 봉우리들로부터 10K에서 측정된$\Delta$ cr (crystal Field splitting)은 0.0791eV,$\Delta$ s.o (spin orbit coupling)는 0.2463eV였다. 10K에서 광발광 봉우리의 919.8nm (1.3479eV)는 free exciton(Ex), 954.5nm (1.2989eV)는 donor-bound exciton 인 I2(DO,X)와 959.5nm (1.2921eV)는 acceptor-bound exciton 인 I1(AO,X) 이고, 964.6nm(1.2853eV)는 donor-acceptor pair(DAP) 발광, 1341.9nm (0.9239eV)는 self activated(SA)에 기인하는 광발광 봉우리로 고찰되었다. -
This paper describes some of the key issues associated with the patterning of metal electrodes of sub-micron (especially at the critical dimension (CD) of 0.15
$\mu\textrm{m}$ ) dynamic random access memory (DRAM) devices. Due to reactive ion etching (RIE) lag, the Pt etch rate decreased drastically below the CD of 0.20$\mu\textrm{m}$ and thus the storage node electrode with the CD of 0.15$\mu\textrm{m}$ could not be fabricated using the Pt electrodes. Accordingly, we have proposed novel techniques to surmount the above difficulties. The Ru electrode for the stack-type structure is introduced and alternative schemes based on the introduction of the concave-type structure using Pt or Ru as an electrode material are outlined. -
-
최근에 산화물 인공격자의 우수한 특성으로 인하여 활발한 연구가 진행되고 있다. 본 연구에서는 펄스레이저 증착방법을 이용하여 산소분압 100mTorr,
$650^{\circ}C$ 에서 LSCO/MgO 기판위에 La-50mol% 첨가된 SrTiO$_3$ (SLTO)와 SrTiO$_3$ 를 적층시켜 산화물 인공격자를 만들어 결정구조에 대하여 연구하였다. SrTiO$_3$ (STO)는 상온에서 3.904$\AA$ 인 cubic perovskite 구조를 가지고 있다. 일반적으로 La$^{3+}$ (1.14$\AA$ )은 Sr$^{2+}$ (1.12$\AA$ )과 이온반경이 거의 유사하기 때문에 ABO 페로브스카이트 구조의 A자리에 치환될 것으로 기대되며 또한 Sr$^{2+}$ 자리에 La$^{3+}$ 가 치환되므로써 발생하는 charge compensation은 Sr 자리에 Vacancy 생성으로 판단된다. 인공격자의 성장확인을 위하여 SLTO와 STO를 10층씩 증착하여 XRD분석을 통하여 평가하여 보았다. 확인된 결과를 바탕으로 산화물 인공격자의 적층 주기를 SLTO layer를 한층으로 고정시키고 STO를 한 층에서 다섯 층까지 다양하게 변화시켰다. 본 연구의 목적은 산화물 인공격자에서 결정결함을 제어하여 소자에 응용할 수 있는 전기적 물성을 평가하기 위함이다. X-ray diffraction 결과 SLTO/STO 인공격자는 (001) 방향으로 우선배향하였으며 적층주기에 따라 격자상수의 변화를 보였다. AES의 depth profile 분석을 통하여 La의 분포를 확인하였으며, HRTEM 분석을 통하여 미세구조분석을 실시하였다. -
TiN barrier막 위에 metal organic chemical deposition(MOCVD)법으로 RuO
$_2$ 를 증착시 TiN막 표면을 세정처리하지 않을 경우 RuO$_2$ 의 핵생성이 어렵고, 그로 인해 RuO$_2$ 연속막이 형성되기 힘들다. 그러므로 RuO$_2$ 의 핵생성을 향상시키기 위해 TiN막에 대한 전처리 세정이 필수적이다. TiN막의 전처리 세정방법으로 ECR plasma 세정법을 사용하였으며,$O_2$ plasma와 H$_2$ plasma 그리고 Ai plasma를 이용해 각각의 exposure time을 변화시키며 전처리 세정을 실시하였다. H$_2$ plasma와Ar plasma의 exposure time이 증가됨에 따라 RuO$_2$ 의 핵생성이 향상되었다. 본 연구에서는 scanning electron microscopy(SEM), Auger electron emission spectrometry(AES), Atomic Force Microscope(AFM), X-ray diffraction (XRD) 등의 분석을 통해 TiN막 표면에 대한 ECR plasma 전처리 세정 이 RuO$_2$ 의 핵생성과 연속막 성장에 미치는 효과에 대해 조사하였다. -
UV 발광소자 재료로서 유망한 ZnO film을 ALE법으로 증착하고 photoluminescence특성을 조사하였다. Zn소스로서 DEZn(Diethylzinc)를, 산소 소스로서 DI water를 사용하였고
$N_2$ gas로서 챔버내에 주입된 소스물질을 purge하였다. ALE 공정온도 범위인 17$0^{\circ}C$ 와 CVD 반응온도 범위인 40$0^{\circ}C$ 로 ZnO 박막을 증착하고 이 시편을 산소 분위기에서 600-100$0^{\circ}C$ 의 온도로 1시간 동안 열처리하였다. 그리고 He-Cd laser를 사용하여 photoluminescence를 측정하였다. 17$0^{\circ}C$ 와 40$0^{\circ}C$ 에서 증착된 시편 모두 as-grown 상태에서는 거의 발광특성을 나타내지 못하였으나 후열처리를 거치면서 발광특성을 나타내었고 열처리 온도가 높을수록 발광강도가 증가하였다. 40$0^{\circ}C$ 에서의 증착된 시편의 경우는CVD반응이 발생하여 Zn-Zn결합이 많이 생성되어 열처리 온도가 증가하여도 발광강도가 약하였고 가시광 영역의 발광 또한 크게 증가하였으며 17$0^{\circ}C$ 에서 증착된 시편의 경우는 열처리 온도가 증가할수록 UV영역의 발광강도만이 크게 증가하였으며 가시광 영역의 발광은 거의 증가하지 않았다. -
The growth of GaN on Si is of great interest due to the several advantages low cost, large size and high-quality wafer availability as well as its matured technology. The crystal quality of GaN is known to be much influenced by the surface pretreatment of Si substrate [1]. In this work, the properties of GaN overlayer grown on ion implanted Si(111)and bare Si(111) have been investigated. Si(111) surface was treated ion implantation with 60KeV and dose 1
${\times}$ 10$\^$ 16//$\textrm{cm}^2$ prior to film growth. GaN epilayers were grown at 1100$^{\circ}C$ for 1 hour after growing AlN buffer layers for 15-30 minutes at 1100$^{\circ}C$ with metal organic chemical vapor deposition (MOCVD). The properties of GaN epilayers were evaluated by X-Ray Diffraction (XRD), Scanning electron microscope (SEM) Photoluminescence (PL) at room temperature and Hall measurement The results showed that the GaN on ion implanted Si(111) markedly affected to the structural, optical and electrical characteristic of GaN layers. -
Growth of epitaxial CoSi
$_2$ using Co-O-N films deposited by metallorganic chemical vapor depositionSi (100) 기판위에서 에피텍셜하게 자란 CoSi$_2$ 층은 우수한 열적안정성, 낮은 junction leakage, ultra-Shallow junction형성 등의 장점으로 인하여 많은 주목을 받아왔다. 그래서 에피텍셜 CoSi$_2$ 층을 형성하기 위한 많은 방법들이 보고되어 왔다. 그 방법으로는 Ti나 TiN층을 이용한 interlayer mediated epitaxy, Co의 제한적 공급을 통한 molecular beam epitaxy와 molecular beam allotaxy, 그리고 금속유기소스를 이용한 반응성화학기상증착법등이 있다. 하지만 이 방법들은 복잡한 증착공정과 열처리 후 잔류층 제거의 어려움등을 가지고 있다. 본 연구는 일반적으로 사용되는 Ti나 oxide의 중간층없이 에피층을 형성시키는 새로운 방법으로 CO-O-N 박막으로부터 열처리에 의해 확산된 Co로부터 CoSi$_2$ 에피층을 형성시켰다. -
Bi가 첨가된 강유전체 YMnO
$_3$ (YBM)와 YMnO$_3$ 을 펄스 레이저 증착법을 이용하여 MgO(111)기판 위에 증착하였다. 증착시 기판온도와 산소분압에 따른 YBM 박막과 YMnO3 박막의 결정성장거동에 대하여 연구하였고, Bi의 첨가량에 따른 YBM 박막의 저온결정성화 효과에 대하여 관찰하였다. YMnO$_3$ 는 830$^{\circ}C$ 이상에서 산소분압이 감소함에 따라서 c축 우선성장 거동을 보였다. 그에 비해 YBM은 700$^{\circ}C$ 이상에서 산소분압이 증가함에 따라 c축 우선성장 하는 것을 관찰하였다. 이것은 Bi 첨가효과로 인해 저온결정화와 높은 산소분압에서 c축 우선성장을 하는 것으로 생각된다. Atomic Force Microscopy (AFM)분석과 Secondary Electron Microscopy(SEM)을 통하여 Bi의 첨가량이 증가함에 따라서 표면거칠가 감소하고 grain size가 증가함을 알 수 있었다. Bi의 거동을 살펴 보기 위해 Rutherford Backscattering spectroscopy (RBS)분석을 해보았다. 이 분석을 통해 Bi를 첨가한 YMnO$_3$ 는 Bi가 표면에 산화물형태로 존재함을 알 수 있었다. -
실리콘 절연막은 반도체 및 디스플레이 소자의 gate 절연막과 보호막으로, 그리고 배선공정에서는 층간절연막(ILD, Inter Layer Dielectric)으로 사용하는데, 주로 IPCVD, PECVD, APCVD법에 의하여 증착되고 있다. 그러나 이러한 방법들은 반도체 소자의 고집적화가 진행됨에 따라 증착온도와 step coverage 및 물성 이 문제점으로 대두되고 있다. 이러한 문제점들을 해결할 수 있는 원자층 증착(ALD, Atomic Layer Deposition)기술은 기판 표면에서의 self-limiting reaction을 통해 매우 얇은 박막을 형성할 수 있고, 두께 및 조성 제어를 정확히 할 수 있으며, 복잡한 형상의 기판에서도 우수한 step coverage를 얻을 수 있어 초미세패턴의 형성과 매우 얇은 두께에서 균일한 물리적, 전기적 특성이 요구되는 초미세 반도체 공정에 적합하다. 또 저온에서 증착이 가능해 유리를 기판으로 사용하는 TFT-LCD소자의 gate 절연막에 적용이 가능하다.
-
We have implanted on sapphire substrate with various ions and investigated the properties of GaN epilayers grown on implanted sapphire substrate by metal organic chemical vapor deposition (MOCVD). Sapphire is typical substrate for GaN epilayers. However, there are many problems such as lattice mismatch and thermal coefficient difference between sapphire substrate and GaN. The ion implanted substrate's surface had decreased internal tree energies during the growth of the GaN epilayer, md the misfit strain was relieved through the formation of an AlN phase on the ions implanted sapphire(0001) substrates. [1] The crystal and optical properties of GaN epilayer grown in ions implanted sapphire(0001) substrate were improved.
-
공정기술의 향상으로 DRAM(dynamic random acess memory)의 고집적화가 이루어지고 있으며, 각 개별소자 및 셀 영역의 점유면적의 감소가 요구되어지고 있다. 따라서 기존에 사용하던 NO (Si
$_3$ N$_4$ /SiO$_2$ )박막보다 유전율이 높은 고유전물질에 대한 연구가 진행되고 있다. Ta$_2$ O$_{5}$ ,$Y_2$ O$_3$ , HfO$_2$ , ZrO$_2$ ,Nb$_2$ O$_{5}$ , BaTiO$_3$ , SrTiO$_3$ 및 (BaSr)TiO등이 고유전물질로 연구되고 있는데 그 중 공정의 안정성, 누설전류의 우수성으로 인해 Ta$_2$ O$_{5}$ 이 많이 연구되고 있다. 본 실험에서는 TiO$_2$ 가 8 mol%가 첨가된 Ta$_2$ O$_{5}$ 의 열처리 온도에 따른 전기적, 유전특성을 살펴보려고 한다살펴보려고 한다 -
It has been known that Pd-MILC shows much faster and lower temperature crystallization than Ni-MILC but it can not be put into practice due to the quality issue of thus fabricated poly crystals. In this study, addition of Pd into Ni-MILC has been attempted in order to take advantages of the Pd-MILC without sacrificing of the Ni-MILC TFTs. It turns out that when 5% of Pd has been added to Ni for MILC, MILC growth rate increases two - three times faster than pure Ni-MILC. The MILC growth rate shows monotonic increase with increase the amount of Pd in Ni up to 50%. Even when small amount of Pd was added to Ni like 5%, crystallization phenomenon already follows the way of Pd-MILC. The Poly-W thus fabricated shows lower leakage current than pure Ni-MILC TFT without losing any amount of on-current This fact is very important in low temperature poly-TFTs because MILC-TFTs, especially suffer from the relatively high leakage current
-
Nanowire와 nanorod 같은 1차원의 반도체 재료는 디멘젼과 크기와 물리적 특성과의 관계 등을 연구하는데 중요한 역할을 하며 laser ablation, arc discharge, chemical vapor depostion, vapor phase transport Process와 solution등의 방법으로 성공적으로 합성되었다. ZnO 는 3.37eV의 넓은 밴드갭과 다른 넓은 밴드갭 재료에 비해 높은 exciton bindng energy (60meV)를 가지며 UV LED, laser diode에 적용하기 유리하고 최근 디스플레나 나노 광전소자로서의 가능성 이 대두되면서 최근 이에 관한 연구가 증가하고 있다. 본 연구에서는 열적탄소환원법(carbothermal reduction process)으로 ZnO와 graphite 분말을 1:1 중량비로 혼합한 분말을 90
$0^{\circ}C$ , 100$0^{\circ}C$ 에서 air 분위기에서 20분간 반응 후 로 내에서 냉각 하였다. 직경 이 50nm-1000nm, 길이가 수 미크론인 내부 결함이 전혀 없는 육각형 단결정의 nanowire가 합성되었고 XRD, FE-SEM과 TEM으로 조성 및 형상, 내부구조를 분석하였다. 합성된 ZnO nanowire는 직경 이 변하는 부분에서 성장방향으로의 계단을 형성하였고 이는 layer by layer 방법으로 nanowire가 성장한다는 것을 나타낸다. -
ZnO는 상온에서 3.36 eV의 wide band gap과 60 meV의 큰 엑시톤 결합 에너지를 가지며, GaN(28 meV)와 ZnSe(19 meV)와 같은 wide band gap 재료와 비교해서 가장 우수한 exciton emission을 가진다. 이러한 특성 때문에 UV 레이저 및 LED와 같은 광학소자로서 그 응용의 잠재성이 높다. 박막의 우수한 광학적 특성과 결정성을 개선하기 위해 다양한 공정조건(RF 파워, 공정압력, 산소분압, 온도)에서 마그네트론 스퍼터링을 이웅하여 Si 기판상에 ZnO 박막을 성장 하였다. 또한, 저온 self-buffer를 이용하여 박막의 광학적 특성과 결정성을 더욱 개선 할 수 있었다. RF 파워와 공정압력은 박막의 PL(phothluminescehce) 특성이나 결정성에는 큰 영향을 주지 않았고 산소분압은 PL intensity의 변화를 가져왔으며, 온도는 결정성에 큰 영향을 주었다. 산소 분압이 증가 할수록 비화학량론적(산소 공공, 침입형 아연) 결함으로 인한 visiable 영 역의 peak 의 강도가 감소하는 것을 관찰하였다. 온도가 증가할수록 박막의 결정성에 나쁜 영향을 주었는데 저온 self-buffer를 도입하므로써 ZnO 박막의 결정성과 PL특성을 함께 개선하였다.
-
ZnO 박막은 그 동안 어려운 문제로 여겨진 p형 도핑방법이 점차 알려 지면서 발광소자 적용 가능성이 주목받고 있다. ZnO는 발광 스펙트럼(PL) 피크의 날카로움, 높은 exciton 결합에너지, 습식식각의 가능, 벽개면 형성의 용이함 및 동종 기판 적용 가능 등의 본질적인 장점을 지니고 있어 재현성있는 p형 도핑방법 기술이 확립된다면 이를 이용한 발광소자 적용 시 기존의 질화물계에 비하여 우수한 소자 제조 가능성이 있다. 이에 따라 국내외에서 ZnO 박막제조에 관련된 많은 연구들이 진행되고 있다. 특히 ZnO 박막을 발광소자로 적용하기 위해서는 고품질의 에피탁시 박막을 성장시켜야 하며 이를 위하여 MBE, MOCVD, PLD법 등 다양한 에피탁시 박막증착이 시도되고 있다. 또한 보다 양질의 ZnO 박막을 성장시키기 위해 적절한 단결정 기판 및 버퍼층의 탐색과 각 기판에 따른 ZnO 박막의 물성평가 작업도 국내외의 여러 연구그룹에서 진행되고 있다.
-
The effects of oxide thickness and gate length of MgO/GaN metal oxide semiconductor field effect transistors (MOSFETs) on I-V, threshold voltage and breakdown voltage characteristics were examined using a drift-diffusion model. The saturation drain current scales in an inverse logarithmic fashion with MgO thickness and is < 10
$^{-3}$ A.${\mu}{\textrm}{m}$ $^{-1}$ for 0.5${\mu}{\textrm}{m}$ gate length devices with oxide thickness > 600$\AA$ or for all 1${\mu}{\textrm}{m}$ gate length MOSFETs with oxide thickness in the range of >200$\AA$ . Gate breakdown voltage is > 100 V for gate length >0.5${\mu}{\textrm}{m}$ and MgO thickness > 600$\AA$ . The threshold voltage scales linearly with oxide thickness and is < 2 V for oxide thickness < 800$\AA$ and gate lengths < 0.6${\mu}{\textrm}{m}$ . The GaN MOSFET shows excellent potential for elevated temperature, high speed applications. -
GaN 에피층을 성장에 있어서 가장 문제가 되는 통과 전위를 줄이기 위한 방법 이 여러 가지로 진행되고 있다. 그중에서도 PE(Pendeo Epitaxy) 방법으로 많은 연구가 이루어 졌는데 이경우에 열적인 요인으로 인해 발생하는 스트레스와 마스크 물질로 인하여 그러한 물질들이 서로 반응하거나 성장시 악영향을 끼쳐 결국은 성장되는 GaN층의 결정학적 기울기의 원인이 된다는 것이 밝혀졌다. 따라서 이러한 마스크를 제거하여 PE GaN에서 마스크와 수평으로 자라나는 GaN층사이의 간섭이 사라져서 결정학적 기울기가 감소시킬 수 있게 되었다. 이 연구에서 우리는 위에서 언급했던 마스크를 사용하지 않은 PE GaN의 미세구조적인 특성과 전위들의 거동을 투과전자현미경, 주사 전자현미경 그리고 HRXRD(High Resolution X-Ray diffraction)결과를 이용하여 살펴보았다.
-
현재 차세대 메모리로 연구되고 있는 것 중 가장 각광 받는 것은 PRAM 이다. MRAM의 경우 복잡한 공정 때문에 상용화에 많은 어려움이 따르는데 반해 PRAM은 DRAM과 유사한 구조를 가지고 있기 때문에 기존 DRAM의 공정라인을 사용할 수 있다는 장점을 가지고 있다. 하지만 PRAM은 높은 작동전류가 필요하다는 단점을 가지고 있다. 따라서 PRAM이 상용화 되기 위해서는 2mA 이하의 작동전류에서 상변환이 일어나야 한다. 여기서 말하는 상변환이란 결정질 상태를 비정질 상태로 변환 시키는 것을 의미한다. 본 연구에서는 우선 8F
$^2$ 크기(F=0.15$\mu\textrm{m}$ )의 DRAM 단위소자 메모리 구조를 이용하여 lT/lRPCRAM 모델을 구축하였다. 구축된 모델을 이용하여 요구되는 작동전류(2mA이하)에서의 PRAM의 온도 분포를 시뮬레이션을 통하여 예측하였다. 또한 단위소자를 구성하는 재료의 물성 변화가 소자 내부의 온도 분포에 미치는 영향을 분석하였다. -
FRAM (Ferroelectric Random Access Memory)은 DRAM(Dynamic Random Access Memory)in 커패시터 재료을 상유전체 물질에서 강유전체 물질로 대체하여 전원 공급이 차단되어도 정보를 기억할 수 있고, 데이터의 고속처리가 가능하고 저소비전력과 집적화가 뛰어난 차세대 메모리 소자이다. 본 연구에서는 n-Well/P-Si(100) 기판위에
$Y_2$ O$_3$ 박막을 중간층 (buffer layer)으로 사용하여 (Bi,La) Ti$_3$ O$_{12}$ (BLT) 강유전체 박막을 졸-겔 방법으로 형성하여 MFM(I)S(Metal Ferroelectric Metal (Insulation) Silicon) 구조의 커패시터 및 전계효과형 트랜지스터(Field Effect Transistor) 소자를 제작하였다. 제작된 소자에 대해 형상학적, 전기적 특성을 조사, 분석하였다. -
백색 LED를 실현하는 방법의 하나로 UV LED와 적, 녹, 청의 3색 형광체를 이용하는 방법이 주목받고 있다. 이것은 연색성과 색온도 제어 특성이 뛰어나다. 그러나 기존의 형광체는 단파장, 약 250nm 전후, 에서 여기되는 특성을 갖고 있기 때문에 near UV(nUV), 약 380-410nm, 의 LED에 응용하기에는 발광특성에 문제점을 갖고 있다. 본 연구에서는 nUV 여기가 가능한 strontium aluminate를 flux를 이용한 고상반응법으로 합성하고 발광 특성을 분석하였다. SrO와 A1
$_2$ $O_3$ 의 조합비와 반응조건에 따라서 SrA1$_2$ $O_4$ 흑은 Sr$_4$ Al$_{14}$ $O_{25}$ 가 합성되었고, 이들은 도핑과 함께 각각 약 520nm에서의 녹색발광과 약 480nm에서의 청녹색 발광 특성을 보이고 있었다. 도펀트로는 Eu, Dy, Ce, Pr 등이 단독 혹은 혼합되어 첨가되었고, 종류와 양에 따라서 발광 파장의 이동이 관찰 되었고, 강도도 이것에 크게 의존하고 있었다. 또한 발광강도는 여기 파장에도 의존하고 있었으며, 약 350-390nm의 nUV에서 가장 높은 발광강도가 관찰되었다.다. -
-
본 연구에서는 Pd에 의한 MILC(Metal induced lateral crystallization)시 수소 플라즈마 처리의 영향에 따른 결정화 양상 및 TFT에 미치는 효과에 대해 고찰하였다. 현재까지 Pd에 의한 MILC에 의해 Channel이 제작된 TFT는 Transfer curve 특성을 보이지 않는것으로 보고되어 있다. 이는 바늘 모양의 성장으로 인해 결정질 부분이 다 채워지지 않고 비정질 부분이 존재하고, 결정질 내에 쌍정 결함이 존재하기 때문인 것으로 알려져 있다. 본 실험에서는 수소 플라즈마 처리 시 Pd에 의한 MILC 양상이 수소 플라즈마 처리를 하지 않은 경우와는 달리 측면 결정화 양상이 바늘 모양의 성장 모습을 보이지 않고, 결정질 부분이 다 채워진 평탄한 모양의 성장 모습을 보였다.
-
최근, Low-temperature Poly-Si(LTPS) TFT시장이 새롭게 형성됨에 따라 저온결정화 기술 연구가 활발히 진행되고 있다. 그러나, 기존의 저온결정화방법에 비해 수율이 높고 생산단가를 낮출 수 있으며 대 면적 프로세스 적용이 가능한 결정화공정개발이 시급히 필요한 실정이다. 본 연구에서는 TFT-LCD array를 구성하고 있는 데이터 라인과 ITO 공통 전극이 개별 트랜지스터의 소스와 드레인에 연결되어있다는 점에 착안하여, 전계를 이용한 방향성유도결정화법(Field Aided Lateral Crystallization)을 이에 적용하였으며 채널영 역의 균일한 결정화를 위하여 컨택홀의 모양에 변화를 주어 결정화 실험을 진행하였다. 이 방법은 간단한 공정(TFT-LCD way를 통한 전계 인가 및 열처리)으로 패널내의 모든 채널영 역을 균일하게 결정화할 수 있을 것으로 기대되는 방식이다.
-
현재 a-Si TFT는 평판 디스플레이 소자로서 주로 사용되고 있으나 점차 고속응답속도 특성, 고화질이 요구됨에 따라 높은 전계효과 이동도를 가진 poly-Si TFT로 대체하기 위한 연구가 진행되고 있으며 특히 poly-Si TFT를 상용 유리 기판에 적용하기 위해 비정질 실리콘의 저온 결정화에 대한 연구가 활발히 진행 되고 있다. 본 연구에서는 극박막의 Ni을 선택적으로 증착하여 전계 유도방향성 결정화 (Field Aided Lateral Crystallization : FALC) 공정을 이용하여 결정화를 진행하였으며 전계를 인가하지 않은 경우와 전계를 인가한 경우, 전계 세기에 따른 결정화에 대하여 비교하였다.
-
-
PDP, FED 등의 디스플레이와 각종 IC 칩부품에 적용 가능한 저융점 유리 프릿 중에서 환경규제가 이루어지고 있는 납성분이 포함되어 있지 않은, Bi계 유리 프릿의 열적 거동 연구를 행하였다. 열처리 온도에 따른 열거동에 따라 프릿의 소성온도 및 미세구조가 결정되므로, 열처리 온도에 따른 유리 프릿의 젖음각을 측정하였고, DTA와 TMA를 통해 유리전이온도, 연화점, 열팽창계수 변화 경향성을 관찰하였다. 본 연구에서 제조된 유리는 조성에 따라 전이온도가 390-50
$0^{\circ}C$ , 연화점이 400-55$0^{\circ}C$ , 열팽창계수가 65-120$\times$ 10-7/$^{\circ}C$ 범위를 나타내었으며, 고온현미경 측정 결과 Pb-계 유리와 비교시 유사한 열거동을 나타내었다. -
현재 PDP(Plasma Display Panel) 상판의 유전체층은 저온에서 소성이 가능한 저융점 유리가 요구되기 때문에 융점을 낮추기에 용이한 PbO계가 주 성분으로 사용되어 오고 있으나. 최근 환경오염 등의 문제점으로 인해 Pb-free을 추구하는 새로운 유리조성의 연구가 많이 수행되고 있다. 이에 본 연구는 이미 PDP의 격벽과 봉착용 조성으로 많이 연구되어진 비스무스계 유리를 고려하여 PDP의 투명유전체용 조성을 찾고자 한다. Bi
$_2$ O$_3$ -ZnO-SiO$_2$ 3원계를 기본으로 하는 유리조성에 유리망목형성제등을 첨가하여 열적특성과 광학적 특성을 조사하였다. 열적특성은 DTA를 이용하여 유리 전이 점(Tg) 및 융점(Tl)등을 측정하였고 TMA를 이용하여 선팽창계수(CTE)를 측정하였으며 유리섬유를 제조한 후 Littleton softening point (Ts)를 측정하였다. 광학적 특성은 페이스트를 제조하여 스크린프린팅 후 54$0^{\circ}C$ ~$600^{\circ}C$ 에서 1-2 h동안 소성하여 투광성을 조사하였다. 그 결과로, 열적특성으로는 400~5$50^{\circ}C$ 의 Tg, 450~$600^{\circ}C$ 의 Ts 및 5~11$\times$ $10^{-6}$ K의 CTE 값을 나타 내었고 광학적 특성으로 투광성은 양호한 특성(60% 이상)을 나타내었다. Bi$_2$ O$_3$ 계를 현재 PDP의 투명유 전체에 적용시키기에는 유리용융시에 높은 점도와 환원 등의 문제점을 갖고 있지만 열적특성과 광학특성면에서는 가능성을 제시하여 향후 연구를 할 가치가 있다고 본다. -
현재 PDP(Plasma Display Panel) 투명유전체층은 PbO 계열을 사용하고 있으나 제조공정 시 다량의 중금속 페기물이 방출됨에 따라 환경오염을 야기시킴으로 무연조성이며 저온소성이 가능한 저융점유리인 인산염계 유리에 대한 열적, 화학적, 광학적 특성에 대해 체계적인 연구가 진행되었다. 광학적 특성을 위 한 승온속도, 소성온도, 유지시간의 변화 그리고, 프릿 입도에 따른 광 투광성, 기포의 형성, 그리고 기포의 분포특성을 연구하였다. 열적특성은 DTA와 TMA를 이용하여 유리전이점(Tg) 및 선팽창계수(CTE)와 Littleton softning point (Ts)가 측정되었다. 광학적특성은 스크린프린팅법으로 후막 제조 후 소성하여 UV-visible spectrometer을 이용하여 300~800nm영역에서 투광성을 측정하였으며, FEG-SEM, AFM을 이용해 표면을 관찰하였다. 결과로써, Tg는 440-46
$0^{\circ}C$ 와 CTE는 7~8.5$\times$ $10^{-6}$ K값을 보였고 높은 화학적 내구성과 60-80%의 광투과율을 나타내었다. 프릿의 미세화, 숭온속도의 감소는 기포의 생성을 줄이는데 효과를 보였으며, 그 결과 양호한 광투과율을 얻을 수 있었다. 이러한 결과에 따르면, P$_2$ O$_{5}$ -ZnO-RO 조성은 PDP용 투명유전체 조성으로써 기존의 PbO계열을 대체할만한 새로운 조성으로 고려된다. -
LED(Light Emitting Diode)는 전기 신호를 빛으로 신호를 보내고 받는데 사용되는 반도체의 일종으로 가정용 가전제품, 리모컨, 전광판, 각종 자동화 기기등에 사용된다. 3색광 백색 LED는 soft-UV 또는 blue 빛을 내는 device 와 RGB 형광체로 이루어져 있다. 현재 연구중인 3색광 백색 LED는 InGaN 또는 GaN 이 RGB 형광체를 여기 시키는것이 대부분이다. 이러한 경우에 유기물과 무기물 형과체가 백색광을 구현하기 위해 사용된다. 유기물의 경우에는 lift-time 이 짧고, 무기물의 경우에는 유해원소인 S, Cd등이 포함되기 때문에 환경오염이 야기된다. 이러한 단점을 보완하기 위해 진화 최적방법을 이용하여 alkali earth borosilicate ((Eu,Mg,Ca,Sr,Ba)
$_{x}$ $B_{y}$ S$i_{z}$ $O_{d}$ ) 계열에$^{Eu3+}$ 을 도핑하여 고효율 형광체를 합성하였다. -
-
Polycrystalline Si(polysilicon) TFTs have opened a way for the next generation of display devices, due to their higher mobility of charge carriers relative to a-Si TFTs. The polysilicon W applications extend from the current Liquid Crystal Displays to the next generation Organic Light Emitting Diodes (OLED) displays. In particular, the OLED devices require a stricter control of properties of gate oxide layer, polysilicon layer, and their interface. The polysilicon layer is generally obtained by annealing thin film a-Si layer using techniques such as solid phase crystallization and excimer laser annealing. Typically laser-crystallized Si films have grain sizes of less than 1 micron, and their electrical/dielectric properties are strongly affected by the presence of grain boundaries. Impedance spectroscopy allows the frequency-dependent measurement of impedance and can be applied to inteface-controlled materials, resolving the respective contributions of grain boundaries, interfaces, and/or surface. Impedance spectroscopy was applied to laser-annealed Si thin films, using the electrodes which are designed specially for thin films. In order to understand the effect of grain size on physical properties, the amorphous Si was exposed to different laser energy densities, thereby varying the grain size of the resulting films. The microstructural characterization was carried out to accompany the electrical/dielectric properties obtained using the impedance spectroscopy, The correlation will be made between Si grain size and the corresponding electrical/dielectric properties. The ramifications will be discussed in conjunction with active-matrix thin film transistors for Active Matrix OLED.
-
It has been well known that Ni-MILC TFTs are acceptable for operation of LCD devices but still decrease of annealing temperature is desirable for the future devices like LCD on the plastic substrate. In this work, Cu was added to Ni-MILC in an attempt to lower the annealing temperature and enhance the MILC rate. It has been found that even small amount of Cu addition enhances the MlLC rate considerably. Also well One MILC can be distinguished from island type, which cannot be observed in pure Ni-MILC. Poly TFTs were fabricated with Cu/Ni-MILC and the effects of copper addition on the electrical properties were carefully investigated.
-
-
-
-
Uncooled infrared(IR) detectors that use a microbolometer with a large focal-plane array(FPA) have been developed with surface micromachining technology. There are many materials for microbolometers, such as metals, vanadium oxide, semiconductors and superconductors. Among theses, vanadium oxide is a promising material for uncooled microbolometers due to it high temperature coefficient of resistance(TCR) at room temperature. It is, however, is very difficult to deposit vanadium oxide thin films having a high TCR and low resistance because of the process limits in microbolometer fabrication. In general, vanadium oxides have been applied to microbolometer in mixed phases formed by ion beam deposition methods at low temperature with TCR in the range from -1.5 to -2.0%K.
-
RF 마그네트론 스퍼터링법을 이용하여 Ar과
$O_2$ 의 유량을 25sccm씩 흘리면서$SiO_2$ /Si기판 위에 Sn$O_2$ 박막을 증착하였다. 증착된 박막은 columnar 구조로 성장하였으며 많은 입자들이 뭉쳐서 형성된 양배추꽃(cauliflower) 형태의 뭉친 입자(agglomerates)를 가지는 표면형상이 관찰되었다. 분위기에 따른 어닐링 효과를 확인하기 위하여 50$0^{\circ}C$ 에서 공기와 질소 분위기하에서 열처리하였다. 열처리한 후 표면거칠기가 개선되었으며, 표면형상의 변화가 발생하였다. 특히 50$0^{\circ}C$ , 질소분위기에서 어닐링한 경우는 양배추꽃 형태의 표면형상이 소수의 작은 입자가 뭉친 형태로 분리되면서 입도분포가 개선되었다. 이러한 결과는 어닐링 과정에서 발생되는 응력을 완화시키기 위하여 표면형상의 변화가 발생하는 것으로 판단된다. XPS 측정 결과, 질소 분위기에서 어닐링한 후에 OIs와 Sn5/3d 피크가 낮은 결합에너지에 위치하고 있어 산소공공의 농도가 어닐링 전에 비하여 증가하였음을 확인할 수 있다. 어닐링 전후에 Sn$O_2$ 박막의 면저항 측정 값은 XPS 결과와는 달리 질소 분위기 어닐링한 후에 오히려 면저항값이 크게 증가하였다. 이러한 결과는 질소 분위기 어닐링한 후 표면형상의 변화에 기인하여 입자간의 연결성이 저하되어 면저항값이 증가한 것으로 추정된다. 산소분위기에서 어닐링한 후에 전체적으로 전기적 특성의 재현성이 개선되었으며 Sensitivity($R_{air}$ /$R_{gas}$ )가 향상되었음을 확인하였다.하였다.석을 통하여 La의 분포를 확인하였으며, HRTEM 분석을 통하여 미세구조분석을 실시하였다.2463eV였다. 10K에서 광발광 봉우리의 919.8nm (1.3479eV)는 free exciton(Ex), 954.5nm (1.2989eV)는 donor-bound exciton 인 I2(DO,X)와 959.5nm (1.2921eV)는 acceptor-bound exciton 인 I1(AO,X) 이고, 964.6nm(1.2853eV)는 donor-acceptor pair(DAP) 발광, 1341.9nm (0.9239eV)는 self activated(SA)에 기인하는 광발광 봉우리로 고찰되었다.가 높을수록 방출전류가 시간에 따라 급격히 감소하였다. 각 duty비에서 방출전류의 양이 1/2로 감소하는 시점을 에미터의 수명으로 볼 때 duty비 대 에미터 수명관계를 구해 높은 duty비에서 전계방출을 시킴으로써 실제의 구동조건인 낮은 duty비에서의 수명을 단시간에 예측할 수 있었다. 단속적으로 일어난 것으로 생각된다.리 폐 관류는 정맥주입 방법에 비해 고농도의 cisplatin 투여로 인한 다른 장기에서의 농도 증가 없이 폐 조직에 약 50배 정도의 고농도 cisplatin을 투여할 수 있었으며, 또한 분리 폐 관류 시 cisplatin에 의한 직접적 폐 독성은 발견되지 않았다이 낮았으나 통계학적 의의는 없었다[10.0%(4/40) : 8.2%(20/244), p>0.05]. 결론: 비디오흉강경술에서 재발을 낮추기 위해 수술시 폐야 전체를 관찰하여 존재하는 폐기포를 놓치지 않는 것이 중요하며, 폐기포를 확인하지 못한 경우와 이차성 자연기흉에 대해서는 흉막유착술에 더 세심한 주의가 필요하다는 것을 확인하였다. 비디오흉강경수술은 통증이 적고, 입원기간이 짧고, -
The adventages of Li alloys have attracted the attention of many research groups, many of which have investigated tin-based alloys [1-2], Despite interesting performances of these, the irreversible capacity loss systematically observed on the first cycle for these compounds is a main drawback for their use as anode materials in lithium ion cells. Not only Sn is efficient in forming alloys with Li, Si can also react with Li to form alloys with a high Li/Si ratio, like Li
$\_$ 22/Si$\_$ 5/ at 400$^{\circ}C$ . It corresponds to a capacity of 4200mAh/g. Electrochemical Li-Si reaction occurs between 0 and 0.3 V against Li/Li$\^$ +/, so that high-energy density battery can be realized. Despite the high theoretical capacity of elements like Si, however, particles of the alloys crack and fragment due to the repeated alloying and do-alloying which occurs as cell are charged and discharged. The research groups of Muggins [3] and Besenhard [4] have proposed that the volume expansion due to the insertion of Li can be reduced in micro- and submicro-structured matrix alloys. For this reason, the research group of J.R. Dahn investigated Sn/Mo sequential sputter deposition to prepare nanocomposites [5]. In this study, we investigated the characterization and the electrochemical characteristics of sequentially sputtered Si/Mo multilayer for microbattery anode. -
ECR(Electron Cyclotron Resonance)은 전자기장에 의한 회전주파수와 전원으로 가해지는 마이크로웨이브(microwave)의 주파수가 일치할 때 발생하는 공진(resonance)현상이다. ECR에 의해 형성된 고밀도, 고에너지의 플라즈마가 상온하에서도 표면에너지가 낮은 고분자수지상에 접착력과 내구성 및 성능이 우수한 금속박막을 형성시킬 수 있는 특징을 지니고 있다. [1] 이러한 고분자수지 표면에 제조되는 금속박막소재는 반도체산업을 비롯하여, 박막전지, 전자파 차폐 등의 다양한 용도로 개발되고 있다. 그러나, 고분자수지와 금속박막계면간의 접착성의 저하로 후처리 공정에서 외부의 응력을 받게되면 막이 쉽게 탈리되는 문제점이 대두되었고, 이에 대한 개선이 요구되고 있다. 따라서, 본 연구에서는 공업적으로 많이 사용되는 표면 전처리방법을 통하여 구리 박막의 접착력을 향상시키고자 하였다. 상온화학증착 방법에 의해 고분자수지표면에 구리금속박막을 제조하고 여러 가지 표준방법을 사용하여 고분자수지와 구리박막간의 접착특성을 조사하였다.
-
Many of the spin valve multilayer structures with FeMn as antiferromagnetic layer consist of a NiFe/FeMn/NiFe trilayer where the bottom NiFe layer is the seed layer to facilitate the growth of (111) gama-FeMn antiferromagnetic phase and the top NiFe layer forms the pinned layer[1], In this study, exchange bias of bottom NiFe layer has been investigated as functions of thicknesses of top and bottom NiFe in NiFe/FeMn/NiFe, prepared by rf magnetron sputtering, MH-loop was measured by vibration sample magnetometer (VSM). Two hysteresis loops are corresponded to bottom and top layers, similar to reported loops in spin valve structure. Exchange bias of bottom NiFe could be induced by the interfacial coupling between bottom NiFe and FeMn. But those coupling are strongly dependent on the top and bottom NiFe thicknesses, revealing anomalous character ul exchange bias of bottom NiFe layer.
-
고온 및 고압의 가혹한 방사선 분위기에서 사용되는 핵연료 피복관은 중성자 조사 및 수소화합물의 생성 등으로 인하여 기계적 성질이 저하된다. 따라서 조사된 핵연료 피복관의 손상기준 확립과 안전성 해석을 위해서는 연성 및 강도 등 기계적 특성을 정확히 이해하여야 할 필요가 있다. 핵연료 피복관의 종 및 횡 방향 인장특성 평가를 위하여 개발된 기존의 다양한 시험법들을 비교하고, 핫셀시험에 적합한 인장시험법을 개발하였다. 피복관의 종방향 인장시편은 튜브시편 또는 게이지부 내에서 균일한 변형률 분포를 얻도록 설계된 도그본 튜브시편(그림 1)을 사용한다. 피복관의 횡방향 인장시험에 사용되는 링시편(그림 2)은 게이지부 내에서 균일한 단축 원환변형율 분포 또는 평면변형율 조건을 나타내도록 설계한다. 연소 또는 조사된 피복관으로부터 시편을 제작하기 위해서는 핫셀 내에서 작업 이 가능한 방전가공기(그림 3)를 사용한다. 피복관의 종방향 인장시험용그립(grip)은 핀-부하형이며, 횡방향 인장시험의 경우는 시험 동안 시편의 곡률이 일정하게 유지 되도록 그립의 형상 및 치수를 결정한다(그림 4). 피복관의 종 및 횡방향 강도와 변형 등 기계적 특성을 평가하기 위한 응력-변형율 곡선은 시험기의 복합 강성(K)을 고려하여 결정한다. 이상과 같이 검토된 인장시험법은 피복관의 안전성 해석(safety analysis)과 관련 규정(regulatory)에서 사용되는 피복관 손상기준(fuel damage criteria)의 개선에 필수적인 자료를 제공한다.
-
고체 고분자 전해질에 대한 연구는 1979년 wright와 Armand에 처음 시작된 이래로 지난 20여년간 연구가 계속적으로 지속되고 있다. 전지의 적용되기 위해 전해질이 갖추어야 할 조건중에 이온전도도가 상온에서 10-4 S/cm 이상의 전도도를 나타내야 하지만 지금까지 연구되고 있는 여러 고체 고분자 전해질은 이런 조건을 만족시키지 못하고 있는 실정이다. 본 연구에서는 이런 상온에서의 이온 전도성을 향상시키기 위해 여러 종류의 실리카와 세라믹 계열의 첨가제를 첨가하여 이온전도성의 향상을 꾀하고자 하였다. 본 연구에서는 고체 고분자 전해질의 host polymer로써 분자량 400,000 의 Polyethylene oxide를 사용하였으며 Lithuim salt로는 Lithium (bisperfluroethylsulfonyl)imide(3M)를 기본적으로 사용하였다. 여기에 가소제의 역활로써 (3-cyanopropyl)methylsiloxane cyclics를 첨가하였고 표면그룹이 CH3와 OH기로 이루어진 기능성 나노 실리카를(<11nm)이용하여 함량별 전기 화학적 특성 및 기본 물성을 측정하였다. 기본적으로 이 네 가지 물질을 유기용매 Acetonitril에 잘 용해하여 Solid Casting방법으로 80-100 마이크로의 복합고분자 전해질을 제조하였다. Homogeneous하고 uniform한 필름 제조하기 위해 9
$0^{\circ}C$ 에서 열처리를 24h 동안 실시하였다. 제조되어진 복합고분자전해질은 XRD를 통하여 결정성을 조사하였고 DSC를 이용하여 유리 전이온도 및 결정화도를 조사하였다. 복합고체고분자의 전기화학적 성질을 평가하기 위해 blocking electrode를 제작하여 임피던스 스펙트로 스코피를 이용하여 이온전도성을 측정하였다. 또한 복합 고분자 전해질의 온도의존성에 대해서도 조사하였다. 또한 실제 전지의 작동구간에서의 전해질의 안정성을 확인하기 위해 LSV를 측정하였고. Li metal을 사용하여 non-blocking electrode를 제작하여 복합고분자 전해질과의 계면저항을 측정하였다. -
현재 이용되고 있는 위상 변위 마스크, 즉 Cr 계열의 마스크나 MoSiON 마스크는 DUV지역에서 낮은 굴절률을 갖는다. 그 겯과 마스크의 두께가 90 nm 이상이 되고, 웨이퍼에서 패턴 형성 시 에러율이 증가하게 된다. 본 연구에서는 DUV 지역에서 굴절률이 높을 것이라고 예상되는 Zr과 Hf의 oxide를 위상 변위 마스크 물질의 선정하고 각 물질의 전자 상태와 천이 상태를 분석하여 위상변위 마스크로써의 이용가능성을 연구하자 한다. 상온에서 Zr, Hf oxide의 안정한 구조는 cubic 구조와 monoclinic 구조이다. 현재 cubic 구조의 Zr, Hf oxide에 대한 전자 상태는 연구가 많이 되어 있는 반면 monoclinic 구조에서의 전자상태 연구는 미흡하다. 본 연구에서는 monoclinic 구조를 이용하여 Zr, Hf oxide의 클러스터 모델을 제작하였다. 제작된 클러스터 모델에 대하여 DV-X
$\alpha$ 계산법을 적용, 기저상태의 전자상태를 계산하였다. 그리고 각 모델에서 Zr L-edge, Hf L-edge 그리고 O K-edge의 천이상태를 연구하여, 기저 상태의 전자상태와 천이상태를 연구하여 광학 성질과의 연관성을 연구하고자 하였다. -
The layered nickel oxides (LiNiO
$_2$ ) have been studied for possible use as cathode materials i3l 4V lithium batteries. Although LiCoO$_2$ has been known as the best candidate material for Li-ion batteries, which produces the best performance LiNiO$_2$ is generally accepted as an attractive cathode material, because of its various advantages such as lower cost higher discharge capacity and better reversibility. In this investigation, we calculated the electric state of LiNiO$_2$ using DV-X$\alpha$ molecular orbital method in order to obtain the information of chemical bonding among the Li, Ni and O. In LiNiO$_2$ , alternate layers of Li and Ni occupy the octahedral sites of a cubic close packing of oxide ions, making up a rhombohedral structure with an R-3m space group, Li in 3a, Ni in 3b, and O in 6c sites. On the basis of this, we made the cluster model and studied ionization of each atoms and interaction between atoms according to Mullilcen population analysis. -
Electronic structure of
$CaRuO_3$ (CRO) for buffer layer between superconductor and metal substrates초전도체 선재를 제작하기위해 YBa$_2$ Cu$_3$ $O_{7-x}$ (YBCO) 와 Ni substrates사이의 계면 문제를 해결하기 위한 buffer layer로써 CaRuO$_3$ (CRO) thu film이 제안되었는데, 이런 buffer layer의 조건으로는Ni metal과 YBCO superconductor사이의 화학적 반응이 없어야 하고 metal component가 YBCO로 diffusion되는 것을 막아주어야 하며 substrates의 산화를 막아주어야 한다. 이런 조건을 만족시키는 것 중에서 CRO thin film이 가장 적절하였지만, CRO의 orthorhombic구조의 distortion에 의만 lattice mismatch 문제가 발생하였다. 이러한 문제를 해결하기 위해 이론적인 구조 분석을 통한 CRO의superconductor buffer layer로써의 가능성을 검토해 보는 것이 목적이다. -
-
-
최근, Cu기판 위에 YBaCuO
$_{7-x}$ 초전도체를 입혀 초전도 선재를 제작하려는 연구가 이루어지고 있으며 이 과정에서 CuO와 Cu$_2$ O가 생성된다는 보고가 있다. CuO 및 Cu$_2$ O의 생성은 초전도 선재의 전기전도적 특성 및 기계적 특성에 상당한 영향을 끼칠 수 있다. 따라서 CuO와 Cu$_2$ O에 대한 연구가 필요하다고 할 수 있다. 본 연구에서는 DV-X$\alpha$ 분자궤도법을 통해 CuO와 Cu$_2$ O에 대한 (Cu$_{29}$ O$_{58}$ )$^{58-}$ , (Cu$_{52}$ O$_{19}$ )$^{14+}$ 모델을 이용하여 전자상태계산을 하였다. CuO, Cu$_2$ O의 valence orbital level 구조 및 DOS (Density of State)를 통해 Cu원자와 O원자간의 공유결합 세기를 측정하였으며 CuO, Cu$_2$ O 서로간의 차이점을 분석하였다. -
본 연구에서는 스핀밸브 다층박막에서 교환 바이어스에 영향을 끼치는 요인 중 하나인 강자성층과 반강자성층사이의 접합 계면에서의 표면 거칠기 [1,2]를 줄이기 위해 현재 반도체 공정에 사용되고 있는 이온빔 에칭 장비를 사용하여 스핀 밸브 다층박막의 씨앗층 에칭에 따른 교환 바이어스를 알아보고자 하였다. 스핀밸브 구조는 강자성층/비자성층/강자성층의 기본구조를 갖는데 이중 하나의 강자성층의 스핀방향이 반강자성층에 의해 고정되는 구조[3]로써 이러한 고정 효과를 교환 바이어스(exchange bias)라 부른다. 교환 바이어스(exchange bias)현상은 강자성과 반강자성의 접합계면에서 강한 상호 교환결합력에 의해 나타나는 현상으로 이러한 교환 바이어스 특성은 하드드라이브의 고밀도 자기헤드소자 및 비휘발성 자기 메모리소자에 응용되어 기존의 자기저항 소자의 특성을 크게 향상시킬 수 있게 되었다.
-
실리카글라스를 기초로 하는 PLC소자는 가격, 광 손실 성질과 광섬유와의 결합효율이 좋아 광통신에 응용되어지고 있으며 Ge 도핑된 실리카 글라스는 PLC소자의 코어물질로 널리 사용되고 있다. 소작제작을 위해서는 높은 식각률과 깨끗하고 적은 표면손상을 얻어야 하므로 유도결합플라즈마를 이용한 건식식각공정개발이 이루어 져야 한다. 본 연구에서는 Ge 도핑된 실리카글라스의 식각특성을 연구하기 위해
$C_2$ F/6 와 NF$_3$ 가스를 사용하였고 ICP power, bias power, 압력, 플라즈마와 샘플간의 거리를 변화시키면서 식각속도, 표면거칠기, 메사수직도, 마스크선택도등 기본공정 조건을 연구하고 첨가가스(CH$_4$ ,$O_2$ ), 마스크 물질(Ni, Cr, PR) 도핑농도(0.3, 0.45, 0.7%)등을 변화시키면서 식각특성을 연구하였다. 그 결과 300nm/min, 정도의 식각속도를 가지고 수직한 메사각도(~89$^{\circ}$ )와 미려한 표면(표면거 칠기 1.5nm 이하)를 갖는 결과를 얻었다. -
The Commercial LiCoO
$_2$ particles, which were 7.7${\mu}{\textrm}{m}$ in average diameter, were coated with$Al_2$ O$_3$ by a gas suspension spray coating method. The coating amount of$Al_2$ O$_3$ on the surface of LiCoO$_2$ was varied from 0.1 to 2 wt.% and compared their electrochemical characteristics with those of bare LiCoO$_2$ .$Al_2$ O$_3$ coating on the surface of LiCoO$_2$ increased surface area and electrical conductivity, and showed the better cycle and thermal stability even at the higher voltage. The observed optimum A1$_2$ O$_3$ coating amount that exhibited the highest capacity retention was 0.2 wt.%. -
캐패시터, 인덕터 등의 전자부품들은 적층기술 및 표면 실장 기술 등을 이용하여 적층형 칩형태로 제작되고 있다. 적층형 칩형태의 전자부품들은 전자기적 특성을 부여하는 세라믹스와 전극역할을 하는 금속으로 구성되어 있으며, 전극 부분은 크게 내부전극과 외부전극으로 구분된다. 고장이 발생하게 되면 고장의 형태를 의미하는 고장모드(failure mode)와 제품을 고장에 이르게하는 물리, 화학적, 기계적 과정을 의미하는 고장기구(failure mechanism)을 조사하게 된다. 전자부품에서 고장이 발생하였을 경우, 1차적인 분석대상은 전극재인데 전극재에 기인한 고장으로는 세라믹스와 전극재 사이의 열팽창계수 차이에 기인한 박리현상(Delamination), 인쇄불량에 의한 단락 및 두께 불량, 세라믹스와 전극재 사이의 반응, 산화에 의한 부식 등이 있다. 이러한 고장은 급격한 주위 환경의 변화에 의한 것보다는 일정수준의 스트레스가 축적되어 발생하며, 수명을 예측하기 위해서는 고장의 원인을 규명하고 그 원인에 의한 가속 시험을 수행하는 것이 일반적인 방법이다. 본 연구에서는 Ag 외부 전극재의 수명을 예측하고자 가속시험을 수행하였고, 고장 분석 통하여 Ag외부 전극재의 특성 및 문제점 등을 정확히 파악하기 위한 연구를 하였다.
-
차세대관리 종합공정에서 취급되는 기준 방사선원은 경수로에서 배출된 우라늄-235 농축도 3.5 wt%, 연소도는 43 Gwd/tU 이며 냉각기간은 10년인 사용후핵연료이다. 사용후핵연료의 기준 사양과 차세대관리 종합공정의 특성에 따라 최대 1,385 TBq의 방사선원이 핫셀내에 존재하게 되며, 핫셀 차폐벽은 총 방사능량에 대한 차폐능을 가져야 한다. 최대 방사선원에 대한 핫셀 차폐벽의 중성자에 대한 차폐능을 평가하기 위하여, 본 연구에서는 ORIGEN-2 코드를 이용하여 사용후핵연료에서 발생하는 핵종 및 핵종량을 평가하였으며, 이 자료를 기초로 하여 중성자 선원항을 SOURCES코드를 이용하여 계산하였다.
-
Enhancement of the exchange bias and optimization of the structure have been the focus that many researchers studied, recently [1]. In this report, magnetic properties of MTJs with structure of Si/Ta (5)/Cu (10)/ Ta (5)/ Ni
$\sub$ 80/Fe$\sub$ 20/ Cu (5)/ Mn$\sub$ 75Ir$\sub$ 25/ (10)/ Co$\sub$ 70/Fe$\sub$ 30/ (2.5)/ Al-O (1.5)/ Co$\sub$ 70/Fe$\sub$ 30/ (2.5)/ Ni$\sub$ 80/Fe$\sub$ 20/ (t)/ Ta (5) (t=0,10, 30, 60 and 100 nm, respectively) were investigated. The relationship between the structure and magnetic parameters of interfacial exchange coupling and interlayer coupling in as-deposited and annealed junctions was studied. The temperature dependence of exchange coupling was considered. -
Chalcopyrite 구조를 가진 CuInSe
$_2$ (CIS) 나노입자를 유기용매인 diethylamine을 사용하여 autoclave안에서 용매열법(solvothermal method)으로 제조하였다. 180$^{\circ}C$ 에서 36시간 반응시켜 길이가 10-30 nm, 폭이 5-10 nm인 rod-Eke 형상을 한 CIS 나노입자를 얻었다. 반응온도를 25$0^{\circ}C$ 로 증가시키고 동일한 반응시간에서 보다 미세하고 균일한 구형의 CIS 나노입자를 관찰할 수 있었다. 한편, 190$^{\circ}C$ 에서 얻어진 CIS 나노입자는 36시간을 반응시킨 경우 구형으로 관찰되었으나 60시간 반응시킨 경우는 길이가 50-100 nm 인 rod-like 입자로 성장하였다. 이와 같이 반응시간과 온도를 달리하여 나노입자의 형상이 바뀌는 것을 입자성장기구의 관점에서 고찰하였다. 반응시간과 온도에 따라 얻어진 CIS 나노 입자들의 결정성, 미세구조 그리고 정량 및 정성분석을 XRD, SEM, TEM, EDS등으로 각각 행하였다. -
I-III-Ⅵ족 CuInGaSe
$_2$ (CIGS)계 화합물 태양전지는 1 eV 이상의 직접 천이형 에너지 밴드갭을 가지며, 전기 광학적으로 매우 안정하여 태양전지의 광흡수층으로 매우 이상적이다. CIGS 광흡수층제조를 위하여 용매열법 (solvothermal method)으로 CIGS나노입자를 합성하였다. 용매열법은 진공장비를 사용하던 기존의 방법에 비해 저온, 저압에서 저가로 합성할 수 있다는 장점을 가지고 있다. Copper, indium selenium 및 gallium 분말과 유기용매 ethylenediarnine을 autoclave안에서 반응시켜 CIGS 나노입자를 제조하였다. 280 에서 14시간동안 반응시켜 직경이 30-80 nm인 구형에 가까운 CIGS 나노입자를 얻었다. 이것은 용매열법에 의한 4성분계의 CIGS 나노입자의 최초 합성이다. diehyleneamine을 용매로 사용한 경우에 한하여 구형의 CIS 입자를 합성할 수 있다고 보고되었으나, Cu와 이중 N-chelation이 형성되는 ethylenediamine 용매임에도 불구하고 구형의 CIGS 나노분말이 형성된 것은 solution-liquid-solid (SLS) 기구로 설명할 수 있었다. HRSEM, TEM, XRD. EDS으로 나노분말의 형상 크기 및 조성을 조사하여 chalcopyrite 구조의 CuInGaSe$_2$ 임을 확인하였다. -
용융탄산염형 연료전지의 성능과 수명은 매트릭스의 기계적 강도, 즉 균열발생과 미세구조 변화에 크게 좌우되고 있다. 매트릭스의 강도 증진은 거대입자를 분산 시키거나 A1
$_2$ O$_3$ 화이버를 분산시켜 이루어지고 있으며, 그 중$Al_2$ O$_3$ 화이버를 사용하는 경우에는 매트릭스 강화효과에 매우 유리하지만 지금까지 사용한 A1$_2$ O$_3$ 화이버가 매우 고가이기 때문에 저가의 A1$_2$ O$_3$ 화이버 검토가 요구되고 있다. 본 연구에서는 경쟁력 있는 매트릭스 개발을 목적으로 저순도 및 고순도의 상용 A1$_2$ O$_3$ 화이버를 첨가한 매트릭스를 제조하여 미세구조와 강도증진 효과 그리고 단위전지 운전성능을 비교분석 하였다. 실험에 사용한 저순도 A1$_2$ O$_3$ 화이버의 길이와 직경은 각각 200$\mu\textrm{m}$ 와 3$\mu\textrm{m}$ 이었으며, 고순도 A1$_2$ O$_3$ 화이버는 3000$\mu\textrm{m}$ 와 10$\mu\textrm{m}$ 이었다. -
Indium tin oxide(ITO) is an advanced ceramic material with many electronic and optical applications due to its high electrical conductivity and transparency to light ITO thin films are used in transparent electrodes for display devices, transparent coatings for solar energy heat mirrors and windows films in n-p heterojunction solar cells, etc. Almost all display devices were fabricated on transparent ITO electrode substrates. There are several factors that cause decay in the efficiency and the failure of display devices. The degradation or damage of ITO is one of the main factors. Under normal operating conditions, the electric fold required for the operation of display devices is very high As a high electric field induces the joule heat, the degradation of the ITO thin film may be expected. Therefore, it is worthy to investigate the thermal and electrical effect on ITO thin films.
-
The development of a buffer layer is an important issue for the second -generation wire, YBCO coated metal wire. The buffer layer demands not only on the prohibition of the reaction between YBCO and metal substrate, but also the proper lattice match and conductivity for high critical current density (Jc) of YBCO superconductor, In order to satisfy these demands, we suggested CaRuO3 as a useful candidate having that the lattice mismatches with Ni (200) and with YBCO are 8.2% and 8.0%, respectively. The CaRuO3 thin films were deposited on Ni substrates using various methods, such as e-beam evaporation and DC and RF magnetron sputtering. These films were investigated using SEM, XRD, pole-figure and AES. In e-beam evaporation, the deposition temperature of CaRuO3 was the most important since both hi-axial texturing and NiO formation between Ni and CaRuO3 depended on it. Also, the oxygen flow rate had i[n effect on the growth of CaRuO3 on Ni substrates. The optimal conditions of crystal growth and film uniformity were 400
$^{\circ}C$ , 50 ㎃ and 7 ㎸ when oxygen flow rate was 70∼100sccm In RF magnetron sputtering, CaRuO3 was deposited on Ni substrates with various conditions and annealing temperatures. As a result, the conductivity of CaRuO3 thin films was dependent on CaRuO3 layer thickness and fabrication temperature. We suggested the multi-step deposition, such as two-step deposition with different temperature, to prohibit the NiO formation and to control the hi-axial texture. -
교환바이어스(exchange bias)현상은 강자성과 반강자성의 접합계면에서 강한 상호 교환결합력에 의해 발생하는 것으로 알려져 있다. 이 현상은 1956년 Meiklejohn과 Bean에 의해 CoO층으로 둘러싸인 Co 입자에서 발견된 이후[l], 강자성과 반강자성의 접합계면을 가지는 다층박막에서의 교환바이어스에 대한 연구가 진행되어왔다[2-6]. 이는 강자성/반강자성 박막의 교환바이어스 특성을 이용하여, 강자성 박막의 스핀방향을 고정시킬 수 있기 때문이다. 이러한 교환바이어스 특성은 하드드라이브의 고밀도 자기헤드소자 및 비휘발성 자기메모리소자에 응용되어지는 등 경제적 가치를 갖는 기술적인 면과 교환바이어스라는 자기특성의 학문적인 가치로 인해 이 분야에 대한 집중적인 투자와 연구가 이루어지고 있다. 최근에는 교환바이어스 현상의 원인과 형성기구에 대한 연구가 활발히 진행되고 있다. 그러나 강자성과 반강자성 박막의 단거리 상호 교환결합력에 의한 교환바이어스 현상은, 계면의 원자구조, 자기구조 및 각자성층의 여러 가지 인자들에 대해서 지속적으로 연구되고 있다.
-
교환바이어스(exchange bias)현상은 강자성과 반강자성의 접합계면에서 강한 상호 교환결합력에 의해 발생하는 것으로 알려져 있다. 이 현상은 1956년 Meiklejohn과 Bean에 의해 CoO층으로 둘러싸인 Co 입자에서 발견된 이후[1], 강자성과 반강자성의 접합계면을 가지는 다층박막에서의 교환바이어스에 대한 연구가 진행되어왔다[2-6]. 이는 강자성/반강자성 박막의 교환바이어스 특성을 이용하여, 강자성 박막의 스핀방향을 고정시킬 수 있기 때문이다. 이러한 교환바이어스 특성은 하드드라이브의 고밀도 자기헤드소자 및 비휘발성 자기메모리소자에 응용되어지는 등 경제적 가치를 갖는 기술적인 면과 교환바이어스라는 자기특성의 학문적인 가치로 인해 이 분야에 대한 집중적인 투자와 연구가 이루어지고 있다. 최근에는 교환바이어스 현상의 원인과 형성기구에 대한 연구가 활발히 진행되고 있다. 그러나 강자성과 반강자성 박막의 단거리 상호 교환결합력에 의한 교환바이어스 현상은, 계면의 원자구조, 자기구조 및 각자성층의 여러 가지 인자들에 대해서 지속적으로 연구되고 있다.
-
탄소재료의 산화반응을 설명한 대부분의 논문은 TGA(Thermo Gravimetric Analysis)를 이용한 연구이다. TGA 장치는 가열이 필요한 물질의 반응연구에 다양하게 이용되고 있는데, 온도에 대한 무게 변화를 간편하게 알 수 있다는 장점과 함께 보편적으로 편리한 Arrhenius형태의 속도식으로 해석된다. 많은 연구자들은 TGA를 이용하여 다양한 탄소재료에 대한 반응속도상수를 구하였으며, 반응기체, 반응온도 및 원료물질에 따라 다른 속도를 나타내는 실험결과를 표준화된 속도식으로 표현하고자 하는 노력이 있었다. 그러나 이런 대부분의 연구는 coal 등과 같은 탄소재료의 연소특성을 이용하려는 에너지 변환 연구가 주를 이루어 왔으며, 탄소섬유의 산화반응에 대한 표준화 식으로 해석한 보고는 거의 없는 실정이다. 이 연구에서는 내부구조가 현격하게 차이나는 다른 두 종류의 피치계 탄소섬유를 TGA를 이용하여 등온 산화반응 시켰다. 반응기체의 종류와 반응온도를 변화시켜 산화반응조건에 따른 중량변화를 관찰하였고, 여러 산화조건에서 얻어진 산화속도를 Kasaoka 등에 의해 제안된 표준화식을 이용하여 산화반응의 평균 속도상수 K와 전환율이 0.5일 때의 속도상수
$k_{f=0.5}$ 결과를 비교하여 산화 반응속도를 정량적으로 해석하고자 하였다.다. -
탄소재료의 가스화속도는 근본적으로 활성자리의 수와 관련되어 있으며, 또한 가스화속도는 활성자리 뿐 아니라 확산제한에 따라 달라진다. 대부분의 탄소재료의 활성화 초기단계는 제한된 활성자리 때문에 반응속도는 느리고, 다음 단계는 총 활성자리가 증가하여 반응속도는 급격히 증가하고, 마지막으로 활성자리가 감소하여 활성화 속도는 감소한다. 이러한 sigmoidal특성을 나타내는 활성화 단계를 기공발달과정으로 설명하면, 활성화 초기에 탄소재료 내부에 이미 존재하는 닫힌 기공이 열리고, 일단 기공이 열리면 성장하게 된다. 이렇게 기공 수가 증가하는 것 뿐 아니라 기공 직경이 증가하여 활성화 과정이 진행될수록 비 표면적 및 기공부피는 증가하는데 이런 일련의 과정을 통하여 활성자리 수는 증가하고 또는 감소한다. 이렇게 기공이 발달하는 과정은 각각의 활성화 단계에서 탄소재료의 비 표면적 측정으로 알 수 있으며, 전반적인 산화속도 변화를 측정하여 반응단계를 추정하게 된다. 대부분의 연구자들은 반응 전체의 평균 산화속도를 측정한 후 활성화 에너지를 구하여 반응조절단계로 활성화 기구를 설명한다. 이 연구에서는 활성화 과정 중에 발생하는 중량감소 단계, 즉 각각의 활성화 단계에 따라 달라지는 반응속도상수를 측정하고, 반응단계별 활성화 에너지를 비교 해석하여 피치계 탄소섬유의 기공발달에 영향을 미치는 활성화 기구를 고찰하고자 하였다.
-
실리카 나노 분말의 제조공정과 얻어진 나노분말의 자기조립 현상에 대하여 연구하였다. 나노분말은 TEOS(Tetraethylorthosilicate)를 이용하여 Stober process로 단분산 콜로이드 SiO2를 제조하였다. 다양한 응용을 위해서 좁은 입도분포를 가지면서도 다양한 크기를 가지는 분말을 제조하고자 TEOS, NH4OH, 에탄올, 증류수 등의 절대량과 몰비를 변화시키면서 나노분말을 제조하였다. 실험조건에 대한 입도분포와 평균 입자크기의 변화는 핵생성 이론으로 설명될 수 있었다. 얻어진 나노분말을 이용하여 dipcoating과 electron plating방법으로 단층 혹은 여러층의 박막을 형성하였다. 자기배열에 기초한 두 가지 증착방법에서 박막층에 미치는 변수들의 영향을 주로 electorn plating 방법에 대하여 고찰하였다.
-
-