• 제목/요약/키워드: High-k gate dielectrics

검색결과 70건 처리시간 0.031초

비휘발성 메모리를 위한 $SiO_2/Si_3N_4$ 적층 구조를 갖는 터널링 절연막의 열처리 효과 (Annealing Effects of Tunneling Dielectrics Stacked $SiO_2/Si_3N_4$ Layers for Non-volatile Memory)

  • 김민수;정명호;김관수;박군호;정종완;정홍배;조원주
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 추계학술대회 논문집 Vol.21
    • /
    • pp.128-129
    • /
    • 2008
  • The annealing effects of $SiO_2/Si_3N_4$ stacked tunneling dielectrics were investigated. I-V characteristics of band gap engineered tunneling gate stacks consisted of $Si_3N_4/SiO_2/Si_3N_4$(NON), $SiO_2/Si_3N_4/SiO_2$(ONO) dielectrics were evaluated and compared with $SiO_2$ single layer using the MOS(Metal-Oxide-Semiconductor) capacitor structure. The leakage currents of engineered tunneling barriers (ONO, NON stacks) are lower than that of the conventional $SiO_2$ single layer at low electrical field. Meanwhile, the engineered tunneling barriers have larger tunneling current at high electrical field and improved electrical characteristics by annealing processes than $SiO_2$ layer.

  • PDF

Remote O2 plasma functionalization for integration of uniform high-k dielectrics on large area synthesized few-layer MoSe2

  • Jeong, Jaehun;Choi, Yoon Ho;Park, Dambi;Cho, Leo;Lim, Dong-Hyeok;An, Youngseo;Yi, Sum-Gyun;Kim, Hyoungsub;Yoo, Kyung-Hwa;Cho, Mann?Ho
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2016년도 제50회 동계 정기학술대회 초록집
    • /
    • pp.281.1-281.1
    • /
    • 2016
  • Transition metal dichalcogenides (TMDCs) are promising layered structure materials for next-generation nano electronic devices. Many investigation on the FET device using TMDCs channel material have been performed with some integrated approach. To use TMDCs for channel material of top-gate thin film transistor(TFT), the study on high-k dielectrics on TMDCs is necessary. However, uniform growth of atomic-layer-deposited high-k dielectric film on TMDCs is difficult, owing to the lack of dangling bonds and functional groups on TMDC's basal plane. We demonstrate the effect of remote oxygen plasma pretreatment of large area synthesized few-layer MoSe2 on the growth behavior of Al2O3, which were formed by atomic layer deposition (ALD) using tri-methylaluminum (TMA) metal precursors with water oxidant. We investigated uniformity of Al2O3 by Atomic force microscopy (AFM) and Scanning electron microscopy (SEM). Raman features of MoSe2 with remote plasma pretreatment time were obtained to confirm physical plasma damage. In addition, X-ray photoelectron spectroscopy (XPS) was measured to investigate the reaction between MoSe2 and oxygen atom after the remote O2 plasma pretreatment. Finally, we have uniform Al2O3 thin film on the MoSe2 by remote O2 plasma pretreatment before ALD. This study can provide interfacial engineering process to decrease the leakage current and to improve mobility of top-gate TFT much higher.

  • PDF

Downscaling of self-aligned inkjet printed polymer thin film transistors

  • Noh, Yong-Young;Sirringhaus, Henning
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 한국정보디스플레이학회 2008년도 International Meeting on Information Display
    • /
    • pp.1564-1567
    • /
    • 2008
  • We demonstrate here a self-aligned printing approach that allows downscaling of printed organic thin-film transistors to channel lengths of 100 - 400 nm. A perfected down-scaled polymer transistors (L= 200 nm) showing high transition frequency over 1.5 Mhz were realized with thin polymer dielectrics, controlling contact resistance, and minimizing overlap capacitance via self-aligned gate configuration.

  • PDF

ZrO2 MIM 캐패시터의 구조, 표면 형상 및 전기적 특성 (The Structure, Surface Morphology and Electrical Properties of ZrO2 Metal-insulator-metal Capacitors)

  • 김대규;이종무
    • 한국재료학회지
    • /
    • 제15권2호
    • /
    • pp.139-142
    • /
    • 2005
  • [ $ZrO_2$ ] gate dielectric thin films were deposited by radio frequency (rf)-magnetron sputtering and its structure, surface morphology and electrical peoperties were studied. As the oxygen flow rate increases, the surface becomes smoother. The experimental results indicate that a high temperature annealing is desirable since it improves the electrical properties of the $ZrO_2$ gate dielectric thin films by decreasing the number of interfacial traps at the $ZrO_2/Si$ interface. The carrier transport mechanism is dominated by the thermionic emission.

원자층 증착을 이용한 고 유전율 Al2O3 절연 박막 기반 Indium Zinc 산화물 트랜지스터의 저전압 구동 (Low-Voltage Driving of Indium Zinc Oxide Transistors with Atomic Layer Deposited High-k Al2O3 as Gate Dielectric)

  • 엄주송;김성진
    • 한국전기전자재료학회논문지
    • /
    • 제30권7호
    • /
    • pp.432-436
    • /
    • 2017
  • IZO transistors with $Al_2O_3$ as gate dielectrics have been investigated. To improve permittivity in an ambient dielectric layer, we grew $Al_2O_3$ by atomic layer deposition directly onto the substrates. Then, we prepared IZO semiconductor solutions with 0.1 M indium nitrate hydrate [$In(NO_3)_3{\cdot}xH_2O$] and 0.1 M zinc acetate dehydrate [$Zn(CH_3COO)_2{\cdot}2H_2O$] as precursor solutions; the IZO solution made with a molar ratio of 7:3 was then prepared. It has been found that these oxide transistors exhibit low operating voltage, good turn-on voltage, and an average field-effect mobility of $0.90cm^2/Vs$ in ambient conditions. Studies of low-voltage driving of IZO transistors with atomic layer-deposited high-k $Al_2O_3$ as gate dielectric provide data of relevance for the potential use of these materials and this technology in transparent display devices and displays.

Effects of Temperature Stress on VFB Shifts of HfO2-SiO2 Double Gate Dielectrics Devices

  • Lee, Kyung-Su;Kim, Sang-Sub;Choi, Byoung-Deog
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제43회 하계 정기 학술대회 초록집
    • /
    • pp.340-341
    • /
    • 2012
  • In this work, we investigated the effects of temperature stress on flatband voltage (VFB) shifts of HfO2-SiO2 double gate dielectrics devices. Fig. 1 shows a high frequency C-V of the device when a positive bias for 10 min and a subsequent negative bias for 10 min were applied at room temperature (300 K). Fig. 2 shows the corresponding plot when the same positive and negative biases were applied at a higher temperature (473.15 K). These measurements are based on the BTS (bias temperature stress) about mobile charge in the gate oxides. These results indicate that the positive bias stress makes no difference, whereas the negative bias stress produces a significant difference; that is, the VFB value increased from ${\Delta}0.51$ V (300 K, Fig. 1) to ${\Delta}14.45$ V (473.15 K, Fig. 2). To explain these differences, we propose a mechanism on the basis of oxygen vacancy in HfO2. It is well-known that the oxygen vacancy in the p-type MOS-Cap is located within 1 eV below the bottom of the HfO2 conduction band (Fig. 3). In addition, this oxygen vacancy can easily trap the electron. When heated at 473.15 K, the electron is excited to a higher energy level from the original level (Fig. 4). As a result, the electron has sufficient energy to readily cross over the oxide barrier. The probability of trap about oxygen vacancy becomes very higher at 473.15 K, and therefore the VFB shift value becomes considerably larger.

  • PDF

Elevated Polysilicon source/drain 구조와 고유전율 절연막을 적용한 초미세 SOI MOSFET의 제작 및 특성 연구 (The electrical characteristics of Polysilicon Source/Drain SOI MOSFETs with high-k gate dielectrics.)

  • 임기주;조원주;안창근;양종헌;오지훈;맹성렬;이성재;황현상
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 2003년도 하계종합학술대회 논문집 II
    • /
    • pp.715-718
    • /
    • 2003
  • 본 논문에서는 MOSFET source/drain 고체 확산 원으로써 도핑된 폴리 실리콘을 사용하였으며 확산 후 남은 폴리 실리콘은 elevated source/drain 역할을 하여 저항을 줄여 준다. 또한 제안 된 구조는 게이트 절연막 공정 이전에 확산 공정이 이루어 지기 때문에 후속 열처리에 취약한 고유전율 게이트 절연막 공정과 금속 게이트 공정에 적합한 공정으로 적합함을 보였다.

  • PDF

Hysteresis-free organic field-effect transistors with ahigh dielectric strength cross-linked polyacrylate copolymer gate insulator

  • Xu, Wentao;Lim, Sang-Hoon;Rhee, Shi-Woo
    • 한국재료학회:학술대회논문집
    • /
    • 한국재료학회 2009년도 추계학술발표대회
    • /
    • pp.48.1-48.1
    • /
    • 2009
  • Performance of organic field-effect transistors (OFETs) with various temperature-cured polyacrylate(PA) copolymer as a gate insulator was studied. The PA thin film, which was cured at an optimized temperature, showed high dielectric strength (>7 MV/cm), low leakage current density ($5{\times}10^{-9}\;A/cm^2$ at 1 MV/cm) and enabled negligible hysteresis in MIS capacitor and OFET. A field-effect mobility of ${\sim}0.6\;cm^2/V\;s$, on/off current ratio (Ion/Ioff) of ${\sim}10^5$ and inverse subthreshold slope (SS) as low as 1.22 V/decwere achieved. The high dielectric strength made it possible to scale down the thickness of dielectric, and low-voltage operation of -5 V was successfully realized. The chemical changes were monitored by FT-IR. The morphology and microstructure of the pentacene layer grown on PA dielectrics were also investigated and correlated with OFET device performance.

  • PDF

$BCl_3$ 유도결합 플라즈마를 이용하여 식각된 $HfO_2$ 박막의 표면 반응 연구 (Surface reaction of $HfO_2$ etched in inductively coupled $BCl_3$ plasma)

  • 김동표;엄두승;김창일
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 하계학술대회 논문집 Vol.9
    • /
    • pp.477-477
    • /
    • 2008
  • For more than three decades, the gate dielectrics in CMOS devices are $SiO_2$ because of its blocking properties of current in insulated gate FET channels. As the dimensions of feature size have been scaled down (width and the thickness is reduced down to 50 urn and 2 urn or less), gate leakage current is increased and reliability of $SiO_2$ is reduced. Many metal oxides such as $TiO_2$, $Ta_2O_4$, $SrTiO_3$, $Al_2O_3$, $HfO_2$ and $ZrO_2$ have been challenged for memory devices. These materials posses relatively high dielectric constant, but $HfO_2$ and $Al_2O_3$ did not provide sufficient advantages over $SiO_2$ or $Si_3N_4$ because of reaction with Si substrate. Recently, $HfO_2$ have been attracted attention because Hf forms the most stable oxide with the highest heat of formation. In addition, Hf can reduce the native oxide layer by creating $HfO_2$. However, new gate oxide candidates must satisfy a standard CMOS process. In order to fabricate high density memories with small feature size, the plasma etch process should be developed by well understanding and optimizing plasma behaviors. Therefore, it is necessary that the etch behavior of $HfO_2$ and plasma parameters are systematically investigated as functions of process parameters including gas mixing ratio, rf power, pressure and temperature to determine the mechanism of plasma induced damage. However, there is few studies on the the etch mechanism and the surface reactions in $BCl_3$ based plasma to etch $HfO_2$ thin films. In this work, the samples of $HfO_2$ were prepared on Si wafer with using atomic layer deposition. In our previous work, the maximum etch rate of $BCl_3$/Ar were obtained 20% $BCl_3$/ 80% Ar. Over 20% $BCl_3$ addition, the etch rate of $HfO_2$ decreased. The etching rate of $HfO_2$ and selectivity of $HfO_2$ to Si were investigated with using in inductively coupled plasma etching system (ICP) and $BCl_3/Cl_2$/Ar plasma. The change of volume densities of radical and atoms were monitored with using optical emission spectroscopy analysis (OES). The variations of components of etched surfaces for $HfO_2$ was investigated with using x-ray photo electron spectroscopy (XPS). In order to investigate the accumulation of etch by products during etch process, the exposed surface of $HfO_2$ in $BCl_3/Cl_2$/Ar plasma was compared with surface of as-doped $HfO_2$ and all the surfaces of samples were examined with field emission scanning electron microscopy and atomic force microscope (AFM).

  • PDF

Excimer Laser Annealing 결정화 방법 및 고유전 게이트 절연막을 사용한 poly-Si TFT의 특성 (Characteristics of poly-Si TFTs using Excimer Laser Annealing Crystallization and high-k Gate Dielectrics)

  • 이우현;조원주
    • 한국전기전자재료학회논문지
    • /
    • 제21권1호
    • /
    • pp.1-4
    • /
    • 2008
  • The electrical characteristics of polycrystalline silicon (poly-Si) thin film transistor (TFT) crystallized by excimer laser annealing (ELA) method were evaluated, The polycrystalline silicon thin-film transistor (poly-Si TFT) has higher electric field-effect-mobility and larger drivability than the amorphous silicon TFT. However, to poly-Si TFT's using conventional processes, the temperature must be very high. For this reason, an amorphous silicon film on a buried oxide was crystallized by annealing with a KrF excimer laser (248 nm)to fabricate a poly-Si film at low temperature. Then, High permittivity $HfO_2$ of 20 nm as the gate-insulator was deposited by atomic layer deposition (ALD) to low temperature process. In addition, the solid phase crystallization (SPC) was compared to the ELA method as a crystallization technique of amorphous-silicon film. As a result, the crystallinity and surface roughness of poly-Si crystallized by ELA method was superior to the SPC method. Also, we obtained excellent device characteristics from the Poly-Si TFT fabricated by the ELA crystallization method.