• Title/Summary/Keyword: Ge-MOSFETs

Search Result 25, Processing Time 0.025 seconds

A Study on Specific Contact Resistance Reduction of Ni Germanide/P-type Ge Using Terbium Interlayer (Terbium 중간층 적용을 통한 Ni Germanide/P-type Ge의 비접촉저항 감소 연구)

  • Shin, Geon-Ho;Li, Meng;Lee, Jeongchan;Song, Hyeong-Sub;Kim, So-Yeong;Lee, Ga-Won;Oh, Jungwoo;Lee, Hi-Deok
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.31 no.1
    • /
    • pp.6-10
    • /
    • 2018
  • Ni germanide (NiGe) is a promising alloy material with small contact resistance at the source/drain (S/D) of Ge MOSFETs. However, it is necessary to reduce the specific contact resistance between NiGe and the doped Ge S/D region in high-performance MOSFETs. In this study, a novel method is proposed to reduce the specific contact resistance between NiGe and p-type Ge (p-Ge) using a Tb interlayer. The specific contact resistance between NiGe and p-Ge was successfully decreased with the introduction of the Tb interlayer. To investigate the mechanism behind the reduction in the specific contact resistance, the elemental distribution and crystalline structure of NiGe were analyzed using secondary ion mass spectroscopy and X-ray diffraction. It is likely that the reduction in specific contact resistance was caused by an increase in the concentration of boron in the space between NiGe and p-Ge due to the influence of the Tb interlayer.

Low-frequency Noise Characteristics of Si0.8Ge0.2 pMOSFET Depending upon Channel Structures and Bias Conditions (채널구조와 바이어스 조건에 따른 Si0.8Ge0.2 pMOSFET의 저주파잡음 특성)

  • Choi Sang-Sik;Yang Hun-Duk;Kim Sang-Hoon;Song Young-Joo;Lee Nae-Eung;Song Jong-In;Shim Kyu-Hwan
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.19 no.1
    • /
    • pp.1-6
    • /
    • 2006
  • High performance $Si_{0.8}Ge_{0.2}$ heterostructure metal-oxide-semiconductor field effect transistors (MOSFETs) were fabricated using well-controlled delta-doping of boron and $Si_{0.8}Ge_{0.2}$/Si heterostructure epitaxal layers grown by reduced pressure chemical vapor deposition. In this paper, we report 1/f noise characteristics of the SiGe pMOSFETs measured under various bias conditions of the gate and drain voltages changing in linear operation regions. From the noise spectral density, we found that the gate and drain voltage dependence of the noise represented same features, as usually scaled with $f^{-1}$ However, 1/f noise was found to be much lower in the device with boron delta-doped layer, by a factor of $10^{-1}_10^{-2}$ in comparison with the device fabricated without delta-doped layer. 1/f noise property of delta-doped device looks important because the device may replace bipolar transistors most commonly embedded in high-frequency oscillator circuits.

Low-Frequency Noise Characteristics of SiGe pMOSFET Depending upon Channel Structures and Bias Conditions (SiGe pMOSFET의 채널구조와 바이어스 조건에 따른 잡음 특성)

  • Choi, Sang-Sik;Yang, Hun-Duk;Kim, Sang-Hoon;Song, Young-Joo;Cho, Kyoung-Ik;Kim, Jeonng-Huoon;Song, Jong-In;Shim, Kyu-Hwan
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2005.07a
    • /
    • pp.5-6
    • /
    • 2005
  • High performance SiGe heterostructure metal-oxide-semiconductor field effect transistors(MOSFETs) were fabricated using well-controlled delta-doping of boron and SiGe/Si heterostructure epitaxal layers grown by reduced pressure chemical vapor deposition. In this paper, we report 1/f noise characteristics of the SiGe MOSFETs measured under various bias conditions of the gate and drain voltages changing in linear operation regions. From the noise spectral density, we found that the gate and drain voltage dependence of the noise represented same features, as usually scaled with $f^1$. However, 1/f noise was found to be much lower in the device with boron delta-doped layer, by a factor of $10^{-1}\sim10^{-2}$ in comparion with the device fabricated without delta-doped layer. 1/f noise property of delta-doped device looks important because the device may replace bipolar transistors most commonly embedded in high-frequency oscillator circuits.

  • PDF

Reliability Analysis of SiGe pMOSFETs Formed on PD-SOI (PD-SOI기판에 제작된 SiGe p-MOSFET의 신뢰성 분석)

  • Choi, Sang-Sik;Choi, A-Ram;Kim, Jae-Yeon;Yang, Jeon-Wook;Han, Tae-Hyun;Cho, Deok-Ho;Hwang, Young-Woo;Shim, Kyu-Hwan
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.06a
    • /
    • pp.533-533
    • /
    • 2007
  • The stress effect of SiGe p-type metal oxide semiconductors field effect transistors(MOSFETs) has been investigated to compare device properties using Si bulk and partially depleted silicon on insulator(PD SOI). The electrical properties in SiGe PD SOI presented enhancements in subthreshold slope and drain induced barrier lowering in comparison to SiGe bulk. The reliability of gate oxides on bulk Si and PD SOI has been evaluated using constant voltage stressing to investigate their breakdown (~ 8.5 V) characteristics. Gate leakage was monitored as a function of voltage stressing time to understand the breakdown phenomena for both structures. Stress induced leakage currents are obtained from I-V measurements at specified stress intervals. The 1/f noise was observed to follow the typical $1/f^{\gamma}$ (${\gamma}\;=\;1$) in SiGe bulk devices, but the abnormal behavior ${\gamma}\;=\;2$ in SiGe PD SOI. The difference of noise frequency exponent is mainly attributed to traps at silicon oxide interfaces. We will discuss stress induced instability in conjunction with the 1/f noise characteristics in detail.

  • PDF

Simulation on Electrical Properties of SiGe PD-SOI MOSFET for Improved Minority Carrier Conduction (소수운반자 전도 SiGe PD-SOI MOSFET의 전기적 특성에 대한 전산 모사)

  • Yang, Hyun-Deok;Choi, Sang-Sik;Han, Tae-Hyun;Cho, Deok-Ho;Kim, Jae-Yeon;Shim, Kyu-Hwan
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2005.07a
    • /
    • pp.21-22
    • /
    • 2005
  • Partially-depleted Silicon on insulator metal-oxide-semiconductor field- effect transistors (PD-SOI MOSFETs) with Silicon-germanium (SiGe) layer is investigated. This structure uses SiGe layer to reduce the kink effect in the floating body region near the bottom channel/buried oxide interface. Among many design parameters influencing the performance of the device, Ge composition is presented most predominant effects, simulation results show that kink effect is reduced with increase the Ge composition. Because the bandgap of SiGe layer is reduced at higher Ge composition, the hole current between body and SiGe layer is enhanced.

  • PDF

DC Characteristic of Silicon-on-Insulator n-MOSFET with SiGe/Si Heterostructure Channel (SiGe/Si 이종접합구조의 채널을 이용한 SOI n-MOSFET의 DC 특성)

  • Choi, A-Ram;Choi, Sang-Sik;Yang, Hyun-Duk;Kim, Sang-Hoon;Lee, Sang-Heung;Shim, Kyu-Hwan
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2006.06a
    • /
    • pp.99-100
    • /
    • 2006
  • Silicon-on-insulator(SOI) MOSFET with SiGe/Si heterostructure channel is an attractive device due to its potent use for relaxing several limits of CMOS scaling, as well as because of high electron and hole mobility and low power dissipation operation and compatibility with Si CMOS standard processing. SOI technology is known as a possible solution for the problems of premature drain breakdown, hot carrier effects, and threshold voltage roll-off issues in sub-deca nano-scale devices. For the forthcoming generations, the combination of SiGe heterostructures and SOI can be the optimum structure, so that we have developed SOI n-MOSFETs with SiGe/Si heterostructure channel grown by reduced pressure chemical vapor deposition. The SOI n-MOSFETs with a SiGe/Si heterostructure are presented and their DC characteristics are discussed in terms of device structure and fabrication technology.

  • PDF

An Analytical Model for the Threshold Voltage of Short-Channel Double-Material-Gate (DMG) MOSFETs with a Strained-Silicon (s-Si) Channel on Silicon-Germanium (SiGe) Substrates

  • Bhushan, Shiv;Sarangi, Santunu;Gopi, Krishna Saramekala;Santra, Abirmoya;Dubey, Sarvesh;Tiwari, Pramod Kumar
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.13 no.4
    • /
    • pp.367-380
    • /
    • 2013
  • In this paper, an analytical threshold voltage model is developed for a short-channel double-material-gate (DMG) strained-silicon (s-Si) on silicon-germanium ($Si_{1-X}Ge_X$) MOSFET structure. The proposed threshold voltage model is based on the so called virtual-cathode potential formulation. The virtual-cathode potential is taken as minimum channel potential along the transverse direction of the channel and is derived from two-dimensional (2D) potential distribution of channel region. The 2D channel potential is formulated by solving the 2D Poisson's equation with suitable boundary conditions in both the strained-Si layer and relaxed $Si_{1-X}Ge_X$ layer. The effects of a number of device parameters like the Ge mole fraction, Si film thickness and gate-length ratio have been considered on threshold voltage. Further, the drain induced barrier lowering (DIBL) has also been analyzed for gate-length ratio and amount of strain variations. The validity of the present 2D analytical model is verified with ATLAS$^{TM}$, a 2D device simulator from Silvaco Inc.

Theoretical Study of Electron Mobility in Double-Gate Field Effect Transistors with Multilayer (strained-)Si/SiGe Channel

  • Walczak, Jakub;Majkusiak, Bogdan
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.8 no.3
    • /
    • pp.264-275
    • /
    • 2008
  • Electron mobility has been investigated theoretically in undoped double-gate (DG) MOSFETs of different channel architectures: a relaxed-Si DG SOI, a strained-Si (sSi) DG SSOI (strained-Si-on-insulator, containing no SiGe layer), and a strained-Si DG SGOI (strained-Si-on-SiGe-on-insulator, containing a SiGe layer) at 300K. Electron mobility in the DG SSOI device exhibits high enhancement relative to the DG SOI. In the DG SGOI devices the mobility is strongly suppressed by the confinement of electrons in much narrower strained-Si layers, as well as by the alloy scattering within the SiGe layer. As a consequence, in the DG SGOI devices with thinnest strained-Si layers the electron mobility may drop below the level of the relaxed DG SOI and the mobility enhancement expected from the strained-Si devices may be lost.

Improved Electrical Properties by In Situ Nitrogen Incorporation during Atomic Layer Deposition of HfO2 on Ge Substrate (Ge 기판 위에 HfO2 게이트 산화물의 원자층 증착 중 In Situ 질소 혼입에 의한 전기적 특성 변화)

  • Kim, Woo-Hee;Kim, Bum-Soo;Kim, Hyung-Jun
    • Journal of the Korean Vacuum Society
    • /
    • v.19 no.1
    • /
    • pp.14-21
    • /
    • 2010
  • Ge is one of the attractive channel materials for the next generation high speed metal oxide semiconductor field effect transistors (MOSFETs) due to its higher carrier mobility than Si. But the absence of a chemically stable thermal oxide has been the main obstacle hindering the use of Ge channels in MOS devices. Especially, the fabrication of gate oxide on Ge with high quality interface is essential requirement. In this study, $HfO_xN_y$ thin films were prepared by plasma-enhanced atomic layer deposition on Ge substrate. The nitrogen was incorporated in situ during PE-ALD by using the mixture of nitrogen and oxygen plasma as a reactant. The effects of nitrogen to oxygen gas ratio were studied focusing on the improvements on the electrical and interface properties. When the nitrogen to oxygen gas flow ratio was 1, we obtained good quality with 10% EOT reduction. Additional analysis techniques including X-ray photoemission spectroscopy and high resolution transmission electron microscopy were used for chemical and microstructural analysis.

High Performance nFET Operation of Strained-SOI MOSFETs Using Ultra-thin Strained Si/SiGe on Insulator(SGOI) Substrate (초고속 구동을 위한 Ultra-thin Strained SGOI n-MOS 트랜지스터 제작)

  • 맹성렬;조원주;오지훈;임기주;장문규;박재근;심태헌;박경완;이성재
    • Proceedings of the IEEK Conference
    • /
    • 2003.07b
    • /
    • pp.1065-1068
    • /
    • 2003
  • For the first time, high quality ultra-thin strained Si/SiGe on Insulator (SGOI) substrate with total SGOI thickness( $T_{Si}$ + $T_{SiGe}$) of 13 nm is developed to combine the device benefits of strained silicon and SOI. In the case of 6- 10 nm-thick top silicon, 100-110 % $I_{d,sat}$ and electron mobility increase are shown in long channel nFET devices. However, 20-30% reduction of $I_{d,sat}$ and electron mobility are observed with 3 nm top silicon for the same long channel device. These results clearly show that the FETs operates with higher performance due to the strain enhancement from the insertion of SiGe layer between the top silicon layer and the buried oxide(BOX) layer. The performance degradation of the extremely thin( 3 nm ) top Si device can be attributed to the scattering of the majority carriers at the interfaces.

  • PDF