한국진공학회:학술대회논문집 (Proceedings of the Korean Vacuum Society Conference) (Proceedings of the Korean Vacuum Society Conference)
한국진공학회 (The Korean Vacuum Society)
- 반년간
과학기술표준분류
- 물리학 > 광학
한국진공학회 2011년도 제41회 하계 정기 학술대회 초록집
-
This paper describes results for surface and bulk characterization of the most promising thin film solar cell material for high performance devices, (Ag,Cu) (In,Ga) Se2 (ACIGS). This material in particular exhibits a range of exotic behaviors. The surface and general materials science of the material also has direct implications for the operation of solar cells based upon it. Some of the techniques and results described will include scanning probe (AFM, STM, KPFM) measurements of epitaxial films of different surface orientations, photoelectron spectroscopy and inverse photoemission, Auger electron spectroscopy, and more. Bulk measurements are included as support for the surface measurements such as cathodoluminescence imaging around grain boundaries and showing surface recombination effects, and transmission electron microscopy to verify the surface growth behaviors to be equilibrium rather than kinetic phenomena. The results show that the polar close packed surface of CIGS is the lowest energy surface by far. This surface is expected to be reconstructed to eliminate the surface charge. However, the AgInSe2 compound has yielded excellent atomic-resolution images of the surface with no evidence of surface reconstruction. Similar imaging of CuInSe2 has proven more difficult and no atomic resolution images have been obtained, although current imaging tunneling spectroscopy images show electronic structure variations on the atomic scale. A discussion of the reasons why this may be the case is given. The surface composition and grain boundary compositions match the bulk chemistry exactly in as-grow films. However, the deposition of the heterojunction forming the device alters this chemistry, leading to a strongly n-type surface. This also directly explains unpinning of the Fermi level and the operation of the resulting devices when heterojunctions are formed with the CIGS. These results are linked to device performance through simulation of the characteristic operating behaviors of the cells using models developed in my laboratory.
-
저차원 나노구조인 자발형성 양자점은 그 우수한 전기적, 광학적 특성으로 많은 주목을 받고 있다. 이미 양자점을 이용한 소자들의 우수성이 입증이 되고 있다. 그러나 양자점의 형성은 단결정 기판 위에서 Stranski-Krastanow 성장 방법을 통해 일어나기 때문에 성장표면에 존재하는 표면 계단구조 등의 국부적인 표면 불균일성에 의해서 모두 동시에 형성되는 것은 아니다. 표면 핵생성의 시간차에 의해 양자점의 크기 불균일성이 나타나게 되며 이는 양자점의 우수성을 저해하는 요인이 된다. 특히, 비정상적으로 크게 성장된 양자점은 내부에 전위 등의 결정결함을 내포하게 되고, 양자점의 우수한 광특성을 손상시키는 주 요인이 된다. 양자점의 우수한 광특성을 소자로 응용하기 위해서는 이러한 비정상적으로 큰 양자점이 없으면서 균일한 양자점을 성장하는 것이 매우 필요하다. 본 발표에서는 그 동안 본 연구실에서 제안한 새로운 양자점 성장 방법에 대한 소개를 하고자 한다. 유기화학금속화학성장(Metal Organic Chemical Vapor Deposition) 도중에 V족 소스가스인
$AsH_3$ 의 주입을 주기적으로 끊어 주는 새로운 성장 방법(Periodic Arsine Interruption; PAI)을 제안하였다. 이 방법을 통해서 비정상적으로 형성되는 큰 양자점을 완전히 제거할 수 있었다.$AsH_3$ 을 끊어주는 시간 동안에 표면에서 As의 탈착을 유도하여 표면을 In-rich 쪽으로 유도하였고, 이렇게 함으로써 성장 표면에너지를 높은 쪽으로 바꾸어 줌으로써 핵생성을 위한 표면 roughening이 시작되는 것을 억제하였다. 이렇게 함으로써 미리 핵생성이 되어 비정상적으로 크게 성장하는 양자점으로 억제하면서 거의 동시에 모든 양자점이 핵생성되게 유도하였다.$AsH_3$ 의 주입 방법의 변화에 따른 양자점의 형성 거동을 연구함으로써 PAI 의 메카니즘을 이해할 수 있었다. -
고밀도 플라즈마는 재료의 표면 처리, 박막의 증착, 식각 등에 집중적으로 응용되어 왔다. 주요이슈들은 전자기적 관점에서 바라본 전력 전달 메커니즘, 전자 충돌 과정을 포함하는 원자물리학적 과정들, 흡착, 탈착, 스퍼터링 등의 표면 화학 공정들이다. 각각을 이해하는 도구들은 주로 플라즈마 진단 장치(전기탐침, 분광기, 질량분석기, 전압-전류측정기)와 초고진공 표면 분석 장치(XPS, AES)및 실시간 표면 분광 해석기등이 이용되어 왔다. 여기에 유체 모델을 이용한 3차원 수치 해석이 가능해지면서 균일한 공정 결과를 얻기 위한 플라즈마 증착/식각 시스템 디자인 원리의 많은 부분의 이해가 진전을 이루고 있다. 본 발표에서는 고밀도 유도 결합 플라즈마 발생 시스템, 마그네트론 스퍼터링, 펄스 전원 등의 영향이 어떻게 박막 가공 시스템의 성능에 영향을 주고 있으며 이에 대한 해결 노력들이 갖는 학문적, 실제적인 의미에 대해서 고찰한다.
-
Polymer solar cells have become one of the rising next generation solar cells due to their potential for lightweight and bendable plastic solar modules. Recently, the power conversion efficiency of polymer solar cells has reached ~8 %, which can make ~6 % plastic solar modules when it comes to the modular aperture ratio of ~80 %. Although this efficiency is far behind that of conventional inorganic solar cells, the plastic solar modules are expected to create new energy market into which the inorganic solar modules could not make inroads. In the near future, the plastic solar modules can be integrated with consumer electronics that should overcome the regulation of energy consumption. For this application, the polymer solar cells should be fabricated in a variety of module shapes, which can be resolved by employing conventional and/or advanced coating and molding technologies of plastics products. In this tutorial, the fundamental aspect of polymer solar cells will be briefly introduced and then recent trends in terms of materials and devices will be reviewed together with showing recent results in organic nanoelectronics laboratory.
-
유기태양전지는 경제성 및 다양한 응용성으로 차세대 태양전지 분야에서 많은 연구가 진행되고 있으며 최근 몇년 동한 빠른 변환효율 향상을 보이고 있다. 그러나 효율향상과 더불어 유기태양전지의 다양한 환경하에서 장기안정성을 확보하는 것이 중요한 이슈가 되고 있다. 본 강의에서는 유기 태양전지 장기안정성 향상을 위한 연구동향을 소개하고자 한다.
-
In this tutorial session, the field of organic photovoltaic (OPV) cells based on small molecular weight materials will be presented. The previously reported studies on the fabrication, structure, and property of the cells as well as the molecular materials are included. Especially, the factors hampering further enhancement in the power conversion efficiency of the cells such as exciton recombination, light absorption and interfacial morphology between electron donor and acceptor layer will be discussed in detail. The recent progress in our group will also be presented. It includes typical materials and cell fabrication techniques we used as well as the studies on improving the light absorption in the electron donor layer and reducing the extinction of excitons formed by introducing the nanostructured interface between organic layers.
-
최근 환경 및 에너지 자원화 문제의 대두는 국내뿐만 아니라 전세계적으로 화석원료를 대체할 수 있는 기술의 개발을 요구하고 있다. 이러한 대체 기술 중에서 무한한 태양 에너지를 사용할 수 있는 기술의 개발은 현대 과학자들뿐만 아니라 인류가 궁극적으로 해결해야 하는 미래기술 중에서도 가장 중요하며 시급한 기술이다. 현재 염료감응태양전지는 저비용과 고효율을 대표하고 있으며 많은 연구자들이 상업화를 위하여 끝임없는 연구를 진행하고 있다. 가장 대표적으로는 요오드와 요오드 염을 사용하는 용액상 연료감응 태양전지가 있으며 11%의 고효율을 보이고 있지만 작동 온도에서 외부열에 의한 용액의 누수 및 반응성에 의하여 소자의 장기안정성이 보장되지 않는 단점을 가지고 있다. 이러한 단점을 극복하고자 용액상 전해질을 대체하려는 연구가 진행되고 있다. 본 강의에서는 이러한 용액상을 대체하려는 최근의 연구 동향 및 효율과 장기안정성을 확보하고자 하는 많은 연구자들의 노력 및 결과들에 대하여 논의하고자 한다.
-
Compound semiconductor/CNTs composites have shown considerably improved efficiency improvement in photovoltaic devices, which is often attributed to two different factors. One is the formation of efficient electronic energy cascade structures. The other effect of CNTs on the performance of photovoltaic devices is the decrement of interfacial resistance. The interfacial resistances at n-type/ p-type materials and/or n-type materials/TCO electrode are reduced by an outstanding electrical property of CNTs. In addition to the effects of CNTs, we report the third reason for increment of efficiency in photovoltaic devices by CNT's well-known electrical field enhancement effects. The improved
${\beta}$ values in reverse-FE currents of CIGS electrode with SWNTs layers indicate the enhancement of electrical field in photovoltaic devices, which implies the acceleration of the electron transfer rate in the cell. Due to the formation of an efficient electronic energy cascade structure and the decrease of the interfacial resistance as well as the improvement of the electrical field in the photovoltaic devices, the power conversion efficiency of electrochemically deposited superstrate-type CIGS solar cells was increased 24.3% in the presence of SWNTs and showed 10.40% conversion efficiency. -
The process of charge transfer at the interface between two semiconductors or between a metal and a semiconductor plays an important role in many areas of technology. The optimization of such devices requires a good theoretical description of the interfaces involved. This, in turn, has motivated detailed mechanistic studies of interfacial charge-transfer reactions at metal/organic, organic/organic, and organic/inorganic semiconductor heterojunctions. Charge recombination of photo-induced electron with redox species such as oxidized dyes or triiodide or cationic HTM (hole transporting materials) at the heterogeneous interface of
$TiO_2$ is one of main loss factors in liquid junction DSSCs or solid-state DSSCs, respectively. Among the attempts to prevent recombination reactions such as insulating thin layer and lithium ions-doped hole transport materials and introduction of co-adsorbents, although co-adsorbents retard the recombination reactions as hydrophobic energy barriers, little attention has been focused on the anchoring processes. Molecular engineering of heterogeneous interfaces by employing several co-adsorbents with different properties altered the surface properties of$TiO_2$ electrodes, resulting to the improved power conversion efficiency and long-term stability of the DSSCs. In this talk, advantages of the coadsorbent-assisted sensitization of N719 in preparation of DSSCs will be discussed. -
The prospects of current and coming solar-photovoltaic (PV) technologies are envisioned, arguing this solar-electricity source is beyond a tipping point in the complex worldwide energy outlook. Truly, a revolution in both the technological advancements of solar PV and the deployment of this energy technology is underway; PV is no longer an outlier. The birth of modern photovoltaics (PV) traces only to the mid-1950s, with the Bell Telephone Laboratories' development of an efficient, single-crystal Si solar cell. Since then, Si has dominated the technology and the markets, from space through terrestrial applications. Recently, some significant shift toward technology diversity have taken place. Some focus of this presentation will be directed toward PV R&D and technology advances, with indications of the limitations and relative strengths of crystalline (Si and GaAs) and thin-film (a-Si:H, Si, Cu(In,Ga)(Se,S)2, CdTe). Recent advances, contributions, industry growth, and technological pathways for transformational now and near-term technologies (Si and primarily thin films) and status and forecasts for next-generation PV (nanotechnologies and non-conventional and "new-physics" approaches) are evaluated. The need for R&D accelerating the now and imminent (evolutionary) technologies balanced with work in mid-term (disruptive) approaches is highlighted. Moreover, technology progress and ownership for next generation solar PV mandates a balanced investment in research on longer-term (the revolution needs revolutionary approaches to sustain itself) technologies (quantum dots, multi-multijunctions, intermediate-band concepts, nanotubes, bio-inspired, thermophotonics,
${\ldots}$ and solar hydrogen) having high-risk, but extremely high performance and cost returns for our next generations of energy consumers. This presentation provides insights to the reasons for PV technology emergence, how these technologies have to be developed (an appreciation of the history of solar PV)-and where we can expect to be by this mid-21st century. -
Dye-sensitized solar cells (DSCs) have drawn great academic attention due to their potential as low-cost renewable energy sources. DSCs contain a nanostructured TiO2 photoanode, which is a key-component for high conversion efficiency. Particularly, one-dimensional (1-D) nanostructured photoanodes can enhance the electron transport for the efficient collection to the conducting substrate in competition with the recombination processes. This is because photoelectron colletion is determined by trapping/detrapping events along the site of the electron traps (defects, surface states, grain boundaries, and self-trapping). Therefore, 1-D nanostructured photoanodes are advantageous for the fast electron transport due to their desirable features of greatly reduced intercrystalline contacts with specified directionality. In particular, anodic TiO2 nanotube (NT) electrodes recently have been intensively explored owing to their ideal structure for application in DSCs. Besides the enhanced electron transport properties resulted from the 1-D structure, highly ordered and vertically oriented nanostructure of anodic TiO2 NT can contribute additional merits, such as enhanced electrolyte diffusion, better interfacial contact with viscous electrolytes. First, to confirm the advantages of 1-D nanostructured material for the photoelectron collection, we compared the electron transport and charge recombination characteristics between nanoparticle (NP)- and nanorod (NR)-based photoanodes in DSCs by the stepped light-induced transient measurements of photocurrent and voltage (SLIM-PCV). We confirmed that the electron lifetime of the NR-based photoanode was much longer than that of the NP-based photoanode. In addition, highly ordered and vertically oriented TiO2 NT photoanodes were prepared by electrochemical anodization method. We compared the photovoltaic properties of DSCs utilizing TiO2 NT photoanodes prepared by one-step anodization and two-step anodization. And, to reduce the charge recombination rate, energy barrier layer (ZnO, Al2O3)-coated TiO2 NTs also applied in DSC. Furthermore, we applied the TiO2 NT photoanode in DSCs using a viscous electrolyte, i.e., cobalt bipyridyl redox electrolyte, and confirmed that the pore structure of NT array can enhance the performances of this viscous electrolyte.
-
Surface science is intrinsically related to the performance of solar cells. In solar cells the generation and collection of charge carriers determines their efficiency. Effective transport of charge carriers across interfaces and minimization of their recombination at surfaces and interfaces is of utmost importance. Thus, the chemistry at the surfaces and interfaces of these devices must be determined, and related to their performance. In this talk we will discuss the role of two important interfaces, First, the role of surface passivation is very important in limiting the rate of carrier of recombination. Here we will combine x-ray photoelectron spectroscopy of the surface of a Si device with electrical measurements to ascertain what factors determine the quality of a solar cell passivation. In addition, the quality of the heterojunction interface in a ZnSe/CdTe solar cell affects the output voltage of this device. X-ray photoelectron spectroscopy gives some insight into the composition of the interface, while ultraviolet photoemission yields the relative energy of the two materials' valence bands at the junction, which controls the open circuit voltage of the solar cell. The relative energies of ZnSe and CdTe at the interface is directly affected by the material quality of the interface through processing.
-
We will report a few methods to improve the efficiency and stability in small molecule based organic solar cells, including the formation of bulk heterojunctions (BHJs) through alternative thermal deposition (ATD), the use of a micro-cavity structure and interface modifications. By ATD which is a simple modification of conventional thermal evaporation, the thicknesses of alternative donor and acceptor layers were precisely controlled down to 0.1 nm, which is critical to form BHJs. The formation of a BHJ in copper(II) phthalocyanine (CuPc) and fullerene (C60) systems was confirmed by AFM, GISAXS and absorption measurements. From analysis of the data, we found that the CuPc|C60 films fabricated by ATD were composed of the nanometer sized disk shaped CuPc nano grains and aggregated C60, which explains the phase separation of CuPc and C60. On the other hand, the co-deposited CuPc:C60 films did not show the existence of separated CuPc nano grains in the CuPc:C60 matrix. The OPV cells fabricated using the ATD method showed significantly enhanced power conversion efficiency compared to the co-deposited OPV cells under a same composition [1]. We will also present by numerical simulation that adoption of microcavity structure in the planar heterojunction can improve the short circuit current in single and tandem OSCs [2]. Interface modifications also allowed us to achieve high efficiency and high stability OSCs.
-
Polymer solar cells utilize bulk heterojunction (BHJ) type photo-active layer in which the electron donating polymer and electron accepting C60 derivatives are mixed together. In the BHJ system the electron donating polymer and electron accepting C60 derivatives are blended. The blended system causes charge recombination at the interface between the BHJ active layer and electrode. To reduce the charge recombination at the interface, it is needed to use an interlayer that can selectively transfer electrons or holes. We have developed solution processable wide band gap inorganic interfacial layers for polymer solar cells. The effect of interlayers on the performance of polymer solar cell was investigated for various types of conjugated polymers. We have found that inorganic interfacial layers enhanced the solar cell efficiency through the reduction of charge recombination at the interface between active layer and electrode. Furthermore, the stability of the polymer solar cell using the interlayer was significantly improved. The efficiency of 6.5% was obtained from the PTB7:PCBM70 based solar cells utilizing
$TiO_2$ nanoparticles as an interlayers. -
Recently, dye-sensitized solar cell (DSSC) attracts great attention as a promising alternative to conventional silicon solar cells. One of the key components for the DSSC would be the nanocrystalline TiO2 electrode, and the control of interface between TiO2 and TCO is a highly important issue in improving the photovoltaic conversion efficiency. In this work, we applied various interfacial layers, and analyzed their effect in enhancing photovoltaic properties. In overall, introduction of interfacial layers increased both the Voc and Jsc, since the back-reaction of electrons from TCO to electrolyte could be blocked. First, several metal oxides with different band gaps and positions were employed as interfacial layer. SnO2, TiO2, and ZrO2 nanoparticles in the size of 3-5 nm have been synthesized. Among them, the interfacial layer of SnO2, which has lower flat-band potential than that of TiO2, exhibited the best performance in increasing the photovoltaic efficiency of DSSC. Second, long-range ordered cubic mesoporous TiO2 films, prepared by using triblock copolymer-templated sol-gel method via evaporation-induced self-assembly (EISA) process, were utilized as an interfacial layer. Mesoporous TiO2 films seem to be one of the best interfacial layers, due to their additional effect, improving the adhesion to TCO and showing an anti-reflective effect. Third, we handled the issues related to the optimum thickness of interfacial layers. It was also found that in fabricating DSSC at low temperature, the role of interfacial layer turned out to be a lot more important. The self-assembled interfacial layer fabricated at room temperature leads to the efficient transport of photo-injected electrons from TiO2 to TCO, as well as blocking the back-reaction from TCO to I3-. As a result, fill factor (FF) was remarkably increased, as well as increase in Voc and Jsc.
-
Polymer-fullerene based bulk heterojunction (BHJ) solar cells can be fabricated in large area using low-cost roll-to-roll manufacturing methods. However, because of the low mobility of the BHJ materials, there is competition between the sweep-out of the photogenerated carriers by the built-in potential and recombination within the thin BHJ film [12-15]. Useful film thicknesses are limited by recombination. Thus, there is a need to increase the absorption by the BHJ film without increasing film thickness. Metal nanoparticles exhibit localized surface plasmon resonances (LSPR) which couple strongly to the incident light. In addition, relatively large metallic nanoparticles can reflect and scatter the light and thereby increase the optical path length within the BHJ film. Thus, the addition of metal nanoparticles into BHJ films offers the possibility of enhanced absorption and correspondingly enhanced photo-generation of mobile carriers. In this work, we have demonstrated several positive effects of shape controlled Au and Ag nanoparticles in organic P3HT/PC70BM, PCDTBT/PC70BM, Si-PCPDTBT/PC70BM BHJ-based PV devices. The use of an optimized concentration of Au and Ag nanomaterials in the BHJ film increases Jsc, FF, and the IPCE. These improvements result from a combination of enhanced light absorption caused by the light scattering of the nanomaterials in an active layer. Some of the metals induce the plasmon light concentration at specific wavelength. Moreover, improved charge transport results in low series resistance.
-
반도체 생산 공정은 청정 환경을 요구하며, 이를 위해서는 고진공 환경이 필수적인 요소이다. 반도체 생산 라인의 고진공 환경 조성을 위해서는 주로 복합 분자 펌프와 크라이오 펌프가 사용되고 있다. 본 연구에서는 기존의 상용 크라이오 펌프에 사용되던 GM 극저온 냉동기를 맥동관 냉동기로 대체하기 위한 연구를 수행하였다. 맥동관 냉동기는 저온부에 움직이는 부분이 없어 진동이 작고, 신뢰성이 높은 장점이 있어 이를 이용한 크라이오 펌프는 반도체 생산 공정의 공정 정밀도 향상에 기여할 수 있을 것으로 기대된다. 맥동관 냉동기는 크라이오 펌프에 사용하기 위하여 2단으로 구성되며, 저온부가 U자 형상으로 개발되었다. 상용화를 고려하여 로터리 밸브와 위상조절기구가 위치하는 상온부는 일체형으로 제작하였다. 제작된 맥동관 냉동기의 기초 냉각 성능 시험 결과 부하가 없는 조건에서 최저도달온도는 1단과 2단에서 각각 42.53 K과 8.68 K 이었으며, 부하 시험 결과 1단과 2단에서 각각 40 W at 82.97 K, 10 W at 20.51 K의 냉각 능력을 갖는 것으로 측정되었다. 개발된 맥동관 냉동기에 복사차폐막 및 1차, 2차 냉각판을 설치하여 크라이오 펌프를 구성하였고, 기체 질소에 대한 배기 속도 측정 시험을 수행하였다. 배기속도 측정 결과 배기속도는 2차 냉각판의 형상에 크게 영향을 받는 것이 확인되었으며, 약 650 L/의 배기속도를 갖는 것으로 측정되었다. 실험 결과를 바탕으로 크라이오 펌프로 작동시 맥동관 냉동기의 동작 특성 및 배기 속도 향상을 위한 방안을 논의하였다.
-
첨단 공정이 필요한 반도체와 LCD, PDP, LED 등의 디스플레이 및 IT 부품을 제조하는데 필요한 장비의 고성능화와 작업환경의 고청정화에 따른 초고진공펌프의 수요 확대와 앞으로 전개될 한-미 FTA에 따른 시장 확대로 인해 크라이오펌프의 국산화가 시급한 실정이다. 고성능 크라이오펌프를 만들기 위해서는 냉각판을 극저온으로 냉각하기 위한 극저온 냉동기 개발도 중요하지만 냉각판(cryoarray)에 최대한 많은 분자를 포획시키는 것 또한 최우선적으로 고려되어야 할 사항 중 하나이다. 이에 본 논문은 크라이오펌프용 냉각판의 기체분자 포획능력에 대하여 연구하였다. 냉각판의 분자포획능력의 해석은 형상계수법(view factor method)을 이용해 수행하였다. 해석에 이용한 냉각판은 현재 상용화된 모델들 중 원형 중앙판에 45
$^{\circ}$ 하향 skirt가 달린 형태이며 8장의 냉각판이 일정한 간격을 두고 아래쪽으로 적층되어있고 이를 기본 모델로 하여 skirt의 형상이 다른 3장의 냉각판을 가진 네 가지 모델을 해석하였다. 해석에 이용한 냉각판의 기체분자 포획능력이 구속된 형상에서 얼마나 우수한가를 알아보기 위해 크라이오펌프의 입구 직경과 냉각판 중앙 원판의 직경비, 냉각판 사이의 거리, 그리고 skirt의 길이를 변화시켜가며 극저온 냉각판에 직접 응축되는 typeII가스와 흡착제가 도포된 부분에 의해 흡착되는 typeIII가스로 분류하여 해석을 수행하고 그 결과를 비교, 분석하였다. 크라이오펌프의 입구 직경과 냉각판 중앙 원판의 직경비가 증가함에 따라 typeII가스와 typeIII가스 모두 기체분자 포획능력이 증가하며 극저온 냉각판 사이 거리의 변화에 따른 기체분자 포획능력은 typeII가스의 경우 극저온 냉각판 사이의 거리가 증가할수록 증가한다. 하지만 typeIII가스는 모델 A, C의 경우 증가하고 모델 B, D의 경우 증가하다가 다시 약간 감소한다. skirt 길이 변화에 따른 기체분자 포획능력은 두 가스 모두 skirt 길이가 증가함에 따라 점점 급격하게 증가하고 모델 B, D는 나머지 두 모델에 비해 큰 값을 갖는다. 기체분자 포획능력을 해석한 결과를 실제 배기속도와 비교할 경우 절대적 수치로써의 비교는 어려우나 각 모델의 형상의 차이에 의한 상대적인 비교는 가능하다. -
최근 진공기술의 발전으로 진공환경에 대한 산업 응용분야가 다양화되고 있다. 진공 기술은 우주공학, 생명공학, 재료공학 및 전자공학 분야에 핵심 기반기술이 되었으며, 특히 반도체 공정이나 디스플레이 공정의 진공 기술 발전은 매우 빠르게 발전되어 이를 위한 지속적인 연구개발이 요구되고 있다. 우리나라는 세계 제일의 반도체 및 디스플레이 생산국이라는 위치와는 달리 반도체를 생산하기 위한 장비의 국산화율은 16% 미만이다. 특히 전공정장비의 국산화율만 고려한다면 8% 미만에 불과한 실정이다. 이에 정부에서는 진공장비의 핵심부품 국산화에 대한 R&D 사업에 자금을 적극적으로 투자하고 있으나, 반도체 산업을 주력산업으로 표방하고 있는 국내 산업의 기초기반 핵심기술을 외국에 의존함으로써 외화낭비는 물론 첨단산업의 발전을 저해하는 요소로 작용하고 있는 실정이다. 이에 반도체 산업뿐만 아니라 전반적인 기반기술에 해당하는 진공장비 등 국산화 개발은 국가적인 차원에서 매우 중요하다고 할 수 있다. 본 연구에서는 국내 진공 산업체 기술 및 발전 현황과 선진 공업국의 진공 관련 업체의 기술 수준 등을 비교 분석하여 가이드라인을 제시 하고자 한다. 또한, 현재 (유)우성진공에서 정부지원 과제로 수행중인 대유량 터보형 드라이펌프 및 크라이오 펌프 개발 현황 등 국산화 기술개발에 대한 연구 수행 과정을 소개하고자 한다.
-
복합분자펌프는 기존의 터보분자펌프 turbine blade에 spiral grooved를 추가하여 초고진공(10-8 Pa)에서 저진공(330Pa)까지 넓은 압력범위에서 사용할 수 있고 이 펌프를 사용함으로서 완전 oil free한 진공시스템을 만들 수 있는 특징을 가지고 있다. 특히, 회전체를 비접촉으로 지지하는 자기베어링 방식을 적용함으로써, 진동은 극히 작고 베어링수명은 길면서 중저진공에 대한 배기속도가 크고 임의의 방향으로 접속이 가능하여 반도체 및 디스플레이 제조 공정과 같은 첨단산업의 다양한 분야에 쉽게 적용되고 있으며, 그 적용 분야와 시장은 계속 성장하고 있다. 고 진공과 배기 속도의 달성을 위해서, 고속으로 이동하는 격면과 기체분자를 충돌시켜, 기체 분자를 원하는 방향으로 유도하는 작동원리를 가지고 있다. 특히 공기분자의 밀도가 매우 낮은 희박가스 상태에서 고속 회전하는 blade로 공기분자를 쳐 내면서 작동됨으로써 날개의 상하 압력차에 의한 공기력보다도 날개의 고속회전이 매우 중요시 되고 압력으로는 10-1 Pa 이하의 분자영역에서 그 성능을 최고로 발휘 할 수 있다. 이러한 복합 펌프의 주요 장점은 다음과 같다. 1. 10-8 Pa (10-10torr)~10 Pa (1 torr) 까지 넓은 영역에서 배기가 가능하다. 2. 탄화수계의 대하여 높은 압축특성을 가지고 있고, 윤활유를 사용하지 않으므로 얻을 수 있는 진공상태가 고청정하다(oil free). 3. 정밀 5축제어 자기베어링으로 완전히 부상하여 회전함으로서 마모가 없고 진동이 최소화하였을 뿐만 아니라, 또한 운전음도 거의 없다. 4. 설치조건에 제한이 없고 고장이 거의 없다. 본 논문에서는 이러한 복합분자펌프의 개발을 위하여, 상기 연구기관에서 수행된 내용을 소개하고 있으며, 펌프 시스템의 기본 설계 및 자기베어링 시스템의 설계 결과 및 수치해석 결과를 나타내었다.
-
반도체 공정 등에서
$10^{-6}{\sim}10^{-8}$ mbar의 고진공 환경을 제공하기 위하여 사용되는 고진공 터보분자펌프 (Turbomolecular Pump, TMP)는 다층의 회전깃을 갖는 로터를 회전시켜 분자를 배출시키는 방식을 사용하는 진공펌프이다. 또한 최근에는 디스플레이 및 반도체 공정에서 높은 진공도뿐만 아니라, 높은 배기속도를 요구하는 추세에 따라, 터보 펌프와 드래그 펌프부분을 동시에 가지고 있어 상대적으로 작동 진공도 영역이 넓은 복합 분자펌프(Compound Turbomolecular Pump, CMP)의 활용도가 넓어지고 있다. 이러한 분자펌프가 장시간의 고속회전에 적합하도록 비접촉 방식인 자기부상 방식의 적용이 최근 거의 표준화 되어 있다. 자기베어링 시스템은 전자기력을 이용하여 자성체인 회전축을 부상지지 함으로써 비접촉 고속 회전이 가능하여 윤활이 용이하지 않은 진공 환경 등 가혹한 환경에 적합하며, 터보분자펌프는 자기베어링이 가장 널리 사용되고 있는 분야이기도 하다. 자기베어링 시스템의 설계는 크게 하드웨어와 소프트웨어로 나누어질 수 있는데, 하드웨어의 경우 전체 로터 시스템의 특성을 고려하여 설계되어야 하며, 주로 자기베어링 코어와 코일, 변위센서 및 전력 증폭 시스템 등의 기전적인 요소들이 이루어져 있다. 하드웨어 설계와 함께 제어시스템의 설계도 매우 중요하며, 이는 자기베어링 시스템이 불안정한 특성을 갖는 개루프계를 갖고 있으므로 안정화를 위한 능동제어 시스템이 필수적이며 진동제어 등 여러 가지 기능이 요구되기 때문이다. 본 논문에서는 이러한 자기부상형 고진공 복합분자펌프의 제어를 위한 선형제어시스템의 구성을 실제 시스템의 적용을 통하여 설명하였다. 각 제어기는 DSP 를 이용한 디지털 제어시스템으로 구성되었으며, 2,500 l/s 급의 복합 분자펌프 시작품에 적용하여 25,000 rpm 까지의 기본 성능시험을 수행하였으며, 발열 특성의 개선을 위한 비선형 제어기의 설계 사례에 대한 시뮬레이션 결과를 나타내었다. -
배기속도 2,500 L/s, 최고진공도 10-10 mbar를 구현할 대용량 복합 분자펌프 설계를 위한 2차원과 3차원 형상을 대상으로 실시하였다. 진공도가 10-5 mbar 이상이 되는 고진공도에서는 Knudsen 수가 102~107에 이르러 분자간 충돌을 거의 무시할 수 있게 되며, 이때의 유체해석 방법으로서는 통상 희박기체 해석법으로 많이 쓰이는 Direct Simulation Monte Carlo (DSMC) 방법보다, 충돌이 없는 분자의 자유운동을 모사하는 Monte Carlo 방법이 더 적합하게 된다. 본 연구에서는 다단계 rotor와 stator로 구성되는 복합분자 내 유동장에 Monte Carlo 해석법과 DSMC 방법을 모두 적용하여 유동해석을 실시하였다. 먼저 2차원 모델에 대한 해석을 실시하여 분자펌프의 성능에 중요한 영향을 미치는 설계변수로 날개각과 날개간격이 현저함을 확인하였으며, 이 설계변수들이 펌프의 주요성능 지표인 최대펌핑효율과 최대압축비에 미치는 영향을 다양한 3차원 유동해석을 통해 도출하였다. 유동해석 결과, 기체분자와 rotor 날개사이의 충돌 확률을 높이는 방안이 대체적으로 펌프의 성능을 향상시키는데 도움이 되는 것으로 나타났다.
-
본 개발에서는 초고속 복합 분자펌프 구동을 위한 디지털 구동장치를 설계 하였다. 초고속 모터구동을 위한 핵심제어 보드 설계 및 모듈을 설계하여 기본성능을 평가하였다. 또한 초고속 전동기 운전시 급가속 성능을 향상 하기 위해 홀센서에 의한 위치측정 오차를 최소화하는 관측기를 설계하여 모터제어기를 설계하여 고속회전 시험을 하였다. AMB 구동을 위한 전류제어기를 제작하여 성능시험을 하였다. AMB 구동을 위한 와전류식 변위센서 구동부를 설계 제작하였다.
-
지식경제부의 청정제조기반 산업원천개발사업의 일환으로 진행 중인 "초고진공펌프 개발" 과제 중 제 3 세부 과제인 "고진공펌프종합특성평가시스템 설계, 진단기술 개발" 과제에서 추진된 연구결과를 소개한다. 국내 초고진공펌프 개발 수준의 선진화를 위한 기본적인 초석 확립은 현존하는 모든 진공 발생 장치의 국제적 신뢰성이 있는 완벽한 성능평가의 구현에 있다고 할 수 있다. 크라이오펌프 및 복합분자펌프의 최종 상용화 단계에 진입하기 위한 목적으로 핵심부품의 진공, 기계적 특성평가, 운전상태 및 진공특성평가, 신뢰성 확보/공정대응성 평가를 위한 관련 기술 및 장치가 개발되었으며, 시작품 단계의 기 개발품을 평가 진단하는 사업 추진단계에 진입하고 있다. 현재 구축되고 있는 저진공/고진공펌프의 성능평가장치의 개요를 소개하면서 향후 크라이오 펌프 및 터보분자펌프의 개발 및 상용화 단계에서 필요한 국제적 규격 및 내부적 가이드라인을 기 수행되고 있는 측정 데이터베이스에 근거하여 제시하고자 한다. 또한 향후 2년간의 최종 상용화에 필요한 국제 신뢰성, 공정대응성 확보 등 핵심사업 추진내용 및 infra 구축의 상세설계 로드맵 초안을 고찰하고자 한다.
-
고진공 펌프 국산화의 일환으로 터보분자 펌프와 크라이오 펌프 개발이 진행 중이다. 크라이오 펌프 개발은 기계연구원, 우성진공(주), 국민대학교가 연합해서 수행하고 있다. 올해 9월말에 끝나는 1단계 마지막 3차 년도에는 두 고진공 펌프 모두 시제품을 완성하고 성능을 입증해야 한다. 이를 위해 고진공 펌프 개발과 별도로 진공 펌프 종합특성평가 시스템 개발도 표준과학연구원 주도로 진행되고 있는데 크라이오 펌프 평가 시스템은 원자력연구원이 담당하고 있다. 완성된 크라이오 펌프 성능평가 장치는 상온 기준 5
${\times}$ 10-11 mbar의 기저압력을 보이고 있어서 초고진공 영역에서 크라이오 펌프 운전성능을 평가할 수 있는 준비를 마쳤다. 현재 크라이오 펌프 냉동기는 전반적으로 목표 설계치에 근접한 냉각성능을 나타내고 있는데 예를 들면 2차 냉각단 냉각능력이 10 K, 10 W로 대형 크라이오 펌프를 제작하기에도 충분하다. 활성탄 어레이도 여러 모델들을 자작하여 배기성능을 시험해 보았으므로, 최종적으로 3,600 L/s 급에 적합한 어레이를 만들고 이를 개발된 냉동기에 얹고 열차폐와 몸통을 씌워 펌프로서의 운전성능을 평가하는 일이 남아있다. 시제품은 상용품과 달리 기기가 차지하는 공간이 크고 부대설비가 복잡해서 운전성능 평가 장치에 부착해서 실험하기 어려울 수도 있으므로 기계연구원 현장에서 진공 게이지와 기체도입구만 부착한 마구리 플랜지를 펌프 흡기구 위에 달고 간이로 배기속도를 측정하는 것도 고려하고 있다. 이 경우는 표준용기를 사용할 때보다 배기속도가 과대평가되므로 이를 보정해 주는 방안을 마련해 놓아야 한다. -
본 논문은 "차세대 반도체용 진공공정의 실시간 측정/진단/제어 기술개발"과제의 제 3 세부과제 "스마트형 진공 배기 진단 제어 시스템 개발"의 주요 연구 실적을 소개한다. 본 세부과제는 (1)진공펌프 및 배기 시스템의 다중 상태변수 측정 장치 개발, (2)공정별 펌프 상태 및 공정 조건 data base 구축 및 진단 알고리즘 개발(e-Diagnostics Level 2 FDC 수준), (3)공정별 펌프 상태 변수 측정을 통한 자기 진단 기술 개발(e-Diagnostics Level 2 FDC 수준), 그리고 (4)측정/통신 PMS (Pump Monitoring System) 개발(통신속도 56k bps 이상, e-Diagnostics Level 0~1)을 최종 목표로 추진되어 왔다. 첫 번째 주요실적은 진공배기시스템의 다중 상태변수 측정 및 평가 장치를 성공적으로 개발하였다. 본 장치는 현장에서 진공펌프의 배기속도를 3% 이내로 정밀하게 측정할 수 있는 소닉 노즐을 이용한 배기속도 측정 장치 및 기술을 성공적으로 개발 완료하였다. 그리고 측정 가능한 상태변수는 20종에 달하며 이들을 이용하여 진공펌프의 성능인자 15종과 특성치 9종을 종합적으로 평가할 수 있는 능력을 갖추었다. 두 번째 주요실적은 공정별 진공배기시스템의 자기진단 및 예지보수 기수 개발이다. 연구에서 개발된 적응형 인자모델을 이용한 상태진단 기술은 이미 학회 논문으로 소개되었으며 본 기법은 기존의 시계열 상태변수를 이용한 기존의 상태진단 기법보다 메모리 소요량을 100배로 줄였으며 그리고 연산양은 10% 이하로 줄인 획기적인 기법이라 할 수 있다. 세 번째 주요실적은 상태변수 측정, 통신, 제어 및 공정적응 기능 통합형(smart) PMS(pumper monitoring system) 개발이다. 본 장치는 CAN통신 기법을 새로이 채택하였으며 한 대의 PC로 64대의 단위 진공펌프들의 운전 상태변수를 실시간으로 수집할 수 있도록 하였다. 그리고 운전 중인 개별 진공펌프들의 운전 상태진단은 적응형 인자모델을 이용한 상태진단 기술을 응적용함으로써 매우 정확한 상태진단을 매 batch마다 수행할 수 있는 기능을 제공한다.
-
고진공영역에 사용되는 터보분자펌프, 이온펌프, 크라이오펌프 등의 특성평가 장치의 신뢰성을 확보하기 위해서는 신뢰성이 검증된 미세 유량발생 장치를 포함한 이온게이지를 이용한 고진공 측정기술이 확보되어야한다. 본 발표에서는 고진공펌프 특성평가를 위한 미세 기체유량계와 펌프 평가기술에 대한 국제적인 동등성을 확립하고 검증하기 위해 수행해오고 있는 진공 분야의 국제비교 및 ISO/TC 112 위원회 활동에 대해 소개한다.
-
진공 챔버는 공정에 따라 매우 높은 온도를 유지해야 하기 때문에 챔버에 연결된 펌프의 성능 및 신뢰성은 공정 온도에 의해 영향을 받게 된다. 대부분의 반도체 공정이 이루어지는 압력에서는 전도 및 대류의 열전달 형태 보다는 열복사(thermal radiation)에 의한 영향을 더 많이 받게 되어 펌프 자체의 신뢰성 및 펌프의 성능 특성이 변하게 된다. 본 연구에서는 챔버내의 이러한 열복사에 따른 크라이오펌프의 성능 특성 변화를 고찰하고자 한다.
-
우주는 초청정 진공 환경이며, 곳에 따라서는 고에너지 기체 상태가 융합되어 있는 극한 융합 환경으로, 신물질이 만들어 지고 지상환경에서는 얻을 수 없는 특성들을 얻을 수 있는 환경이다. 초청정 환경, 고에너지 기체상태, 무중력으로 대변되는 우주 환경을 초고진공 플라즈마 레비테이션 기술을 통해 지상에서 모사할 수 있는 기술을 개발하고 이를 활용해서 신소재 공정 기술을 개발하는 것을 목표로 하는 '우주환경 기술 기반 융합기술 개발' 사업이 시작 되었다. 본 사업은 신소재나 신공정기술을 개발함에 있어, 기존의 기술을 개선하는데서 탈피하고 극한기술과 극한 환경 융합 기술을 활용하는 새로운 접근법을 도입하자는 의도에서 제안되었으며, 진공, 플라즈마, 부양, 초고온 등 극한 환경 구현 핵심 요소 기술과 이들이 융합된 원천 기술을 확보함으로써 물성 DB 자체 생산, IT 산업용 고부가 소재 부품 개발, 가속기와 우주 개발 등 거대 과학 발전에도 기여할 수 있다.
-
포항가속기연구소에서는 제3세대 방사광가속기 성능향상사업(PLS-II)을 수행하고 있다. 2010년 12월 말 PLS (Pohang light Source) 해체를 시작한 후 2011년 6월 말 까지 PLS-II 저장링 건설을 완료 하였으며 현재 시운전을 계속하고 있다. 성능향상은 기존 PLS 건물을 그대로 유지한 채 삽입장치를 20기 까지 설치하도록 변경하도록 하였으며 전자빔의 에너지는 2.5 GeV에서 3 GeV로, 빔전류는 200 mA에서 400 mA로 증가시키는 반면 빔에미턴스는 약 1/3로 줄인다. 저장링 진공시스템은 저장된 전자가 충분한 시간동안 저장되도록 운전시 2
${\times}$ 10-9 Torr 이하의 진공도를 가지도록 건설하였다. 본 발표에서는 지난 2년 동안 진행되어온 PLS-II 저장링 진공시스템에 대한 설계, 제작, 시험, 설치, 시운전 및 건설 일정/인력에 등에 대하여 보고하며 PLS 건설 및 해체에 대한 내용도 보고한다. -
최근 반도체 및 디스플레이 사업의 급속한 발전으로 인해 반도체 공정은 초미세선폭화, 대면적화 되면서 오염입자를 제어하는 것이 이슈가 되고 있다. 반도체 및 디스플레이의 제조공정 중 챔버 내 진공부품은 진공상태에서 플라즈마에 의해 물리적인 데미지와 화학적으로 매우 활성이 높은 라디칼(Radical)반응에 의한 부식이 진행된다. 이러한 공정영향에 의해 챔버 내 부품들은 부식이 되고 공정 중에 오염입자가 발생하게 되어 반도체 및 디스플레이의 수율저하에 큰 영향을 미치고 부품교체 비용 또한 많이 들고 있다. 본 연구에서는 진공부품의 내전압측정방법을 이용하여 진공부품의 피막특성을 평가하는 연구방법으로서 내전압 지그(Zig)를 표준화하여 제작하였고, 재현성있는 데이터로 피막특성을 정량적으로 측정하는 방법을 연구 하였다. 식각공정 중에 발생하는 부식특성에 관해서는 화학부식와 플라즈마부식 열충격 등 각각 독립적으로 부식환경에 노출시켰으며, 진공부품의 손상 전 후의 내전압 특성변화를 이용하였다. 또한, CCP (Capacitativly Coupled Plasma)형의 RF magnetic sputter을 설계 제작하였고 진공부품에 고밀도 플라즈마를 발생시켜 플라즈마데미지에 의해 발생한 오염입자를 실시간으로 monitoring하여, 정량적으로 측정된 내전압특성결과를 비교 검증하였다.
-
인공위성이 임무를 수행하는 우주환경은 지상 환경과 달리 고진공 및 극저온의 극한환경으로 지상에서는 제대로 작동하는 것으로 관찰되더라도 우주환경에서는 예상하지 못한 기능장애를 일으켜 위성의 성능에 치명적인 영향을 미치기도 한다. 이에 10-5 torr 이하의 고진공과 -180
$^{\circ}C$ 이하의 극저온 환경을지상에서 모사하여 위성체의 안정성 및 신뢰성을 시험한다. 시험에는 열진공챔버라고 불리는 우주환경모사기가 사용이 되며, 기본적으로 챔버 내부 진공형성이 중요하다. 우주환경의 모사를 위해 먼저 저진공펌프로 10-2 Torr 의 저진공을 형성한 후 Turbo-molecular pump 및 Cryopump를 이용하여 10-5 Torr 이하의 고진공을 형성하게 된다. 본 논문에서는 기존 우주환경모사기에 부착된 oil type rotary pump 및 구형 turbo-molecular pump의 교체 과정을 기술한다. 특히, 저진공펌프의 경우는 챔버 내부로의 oil 역류로 인한 오염 문제를 방지하기 위하여 dry type의 펌프가 설치되었다. -
고진공의 바이러스격인 탈기체의 속성과 KRISS에서 보유중인 탈기체의 측정장치들의 각 특징들을 소개한다. 또한 산업체에서 시험 의뢰한 재료 종류 분석을 통해 산업체의 동향을 간접적으로 제시한다.
-
사중극 질량분석기(Quadrupole Mass Spectrometer, QMS)의 신뢰성을 향상시키기 위해 이온소스를 개선하고 평가하였다. 공정가스에 의한 오염 및 charging 효과로 인해 이온전류가 감소하는 현상을 발견하였고 이를 개선하기 위해 이온소스의 표면 거칠기를 줄여서 신뢰성을 향상시켰다. 또한 이온소스를 평가하는 방법으로 희석된 혼합가스를 이용하여 MDPP (Minimum Detectable Partial Pressure)를 측정하였고 이를 위해 희석된 가스의 이온 전류와 배경 노이즈의 비율(Signal/Noise)을 극대화시키면서 QMS를 튜닝하였다. 이 평가방법을 이용하여 상용 이온소스와 한국표준과학연구원에서 제작한 두 종류의 이온소스를 평가 비교하였다. QMS에 대한 국제표준안(ISO/TC 112 CD14291) 제정을 위한 진행상황도 소개하려고 한다.
-
Supramolecular structures of anthraquinone molecules on a metallic surface are studied using scanning tunneling microscope (STM) under ultrahigh-vacuum conditions. When we deposited anthraquinone molecules on Au(111) substrate, the molecules formed three different phases (Chevron type, tetragon type and disordered type) on the surface. Based on our STM measurements, we proposed models for the observed molecular structures. Chevrons are consisted of several molecular chains, which make well-ordered two-dimensional islands by some weak interrow interactions and we could observe tetragon structures which make array of (111) metallic surface. each molecular rows in the chevrons are stabilized by two parallel O-H hydrogen bonds and disordered structures are observed 1-dimensional phase with hydrogen bond. First-principles calculations based on density functional theory are performed to reproduce the proposed models. Distances and energy gains for each intermolecular bond are estimated. In this presentation, we explain possible origins of these molecular structures in terms of hydrogen bonds, Van der Waals interactions and molecule-substrate interactions.
-
Organometallic complexes containing unpaired spins, such as metalloporphyrin or metallophthalocyanine, have extensively studied with increasing interests of their promising model systems in spintronic applications. Additionally, the use of these complexes as an acceptor molecule in chemical sensors has recently received great attentions. In this presentation, we have investigated adsorption of nitric oxide (NO) molecules at Co-porphyrin molecules on Au(111) surfaces with scanning tunneling microscopy and spectroscopy at low temperature. At the location of Co atom in Co-porphyrin molecules, we could observe a Kondo resonance state near Fermi energy in density of states (DOS) before exposing NO molecules and the Kondo resonance state was disappeared after NO exposing because the electronic spin structure of Co-porphyrin were modified by forming a cobalt-NO bonding. Furthermore, we could locally control the chemical reaction of NO dissociations from NO-CoTPP by electron injections via STM probe. After dissociation of NO molecules, the Kondo resonance state was recovered in density of state. With a help of density functional theory (DFT) calculations, we could understand that the modified electronic structures for NO-Co-porphyrin could be occurred by metal-ligand hybridization and the dissociation mechanisms of NO can be explained in terms of the resonant tunneling process via molecular orbitals.
-
CO oxidaition reacitvity of bare and
$TiO_2$ -coated NiO/$Ni(OH)_2$ nanoparticles was studied. For the deposition of$TiO_2$ atomic layer deposition was used, and formation of three-dimensional island of$TiO_2$ on NiO/$Ni(OH)_2$ could be identified. Based on the data of X-ray Photoelectron Spectroscopy, we suggest that only$Ni(OH)_2$ existed on the surface, whereas NiO disappeared upon$TiO_2$ deposition. Both CO adsorption and CO oxidation took place on NiO/$Ni(OH)_2$ surfaces under our experimental conditions. CO adsorption was completely suppressed after$TiO_2$ deposition, whereas CO oxidation activity was maintained to large extent. It is proposed that bare NiO can uptake CO under our experimental condition, whereas hydroxylated surface of NiO can be active for CO oxidation. -
The nanomechanical properties of fully lithiated and unlithiated silicon nanowire deposited on silicon substrate have been studied with atomic force microscopy. Silicon nanowires were synthesized using the vapor-liquid-solid process on stainless steel substrates using Au catalyst. Fully lithiated silicon nanowires were obtained by using the electrochemical method, followed by drop-casting on the silicon substrate. The roughness, derived from a line profile of the surface measured in contact mode atomic force microscopy, has a smaller value for lithiated silicon nanowire and a higher value for unlithiated silicon nanowire. Force spectroscopy was utilitzed to study the influence of lithiation on the tip-surface adhesion force. Lithiated silicon nanowire revealed a smaller value than that of the Si nanowire substrate by a factor of two, while the adhesion force of the silicon nanowire is similar to that of the silicon substrate. The Young's modulus obtained from the force-distance curve, also shows that the unlithiated silicon nanowire has a relatively higher value than lithiated silicon nanowire due to the elastically soft amorphous structures. The frictional forces acting on the tip sliding on the surface of lithiated and unlithiated silicon nanowire were obtained within the range of 0.5-4.0 Hz and 0.01-200 nN for velocity and load dependency, respectively. We explain the trend of adhesion and modulus in light of the materials properties of silicon and lithiated silicon. The results suggest a useful method for chemical identification of the lithiated region during the charging and discharging process.
-
Arrangement of individual atoms and molecules with atomic precision and understanding the resulting properties at the molecular level are ultimate goals of chemistry, biology, and materials science. For the past three decades, scanning probe microscopy has made strides towards these goals through the direct observation of individual atoms and molecules, enabling the discovery of new and unexpected phenomena. This talk will discuss the origin of forces governing motion of small organic molecules and their extended self-assembly into two-dimensional surface structures by direct observation of individual molecules using scanning tunneling microscopy (STM). In addition, atomic force microscopy (AFM) is utilized for the investigation of fundamental mechanisms of bone mineral dissolution by examining atomically well characterized simulated bone minerals under aqueous solution environments.
-
The interaction of water molecules with solid surfaces has been a subject of considerable interests, due to its importance in the fields from atmospheric and environmental phenomena to biology, catalysis and electrochemistry [1,2]. Among various kinds of surfaces, a lot of theoretical and experimental studies have been performed regarding water on MgO(100), however, to date, there has been no direct observation of water molecules on MgO by scanning tunneling microscope (STM) as compared with those on metal surface. Here, we will present the direct observation and manipulation of single water molecules on ultrathin MgO(100) films using low-temperature scanning tunneling microscope (LT-STM) [3]. Our results rationalize the previous theoretical predictions of isolated water molecules on MgO including the optimum adsorption sites and non-dissociative adsorption of water. Moreover, we were able to dissociate a water molecule by exciting the vibrational mode of water, which is unattainable on metal surfaces. The enhanced residual time of tunneling electrons in molecules on the insulating film is responsible for this unique pathway toward dissociation of water.
-
Impressive optical properties of graphene have been attracting the interest of researchers, and, recently, the photovoltaic effects of a heterojunction structure embedded with few layer graphene (FLG) have been demonstrated. Here, we show the direct dependence of open-circuit voltage (Voc) on numbers of graphene layers. After unavoidably adsorbed contaminants were removed from the FLGs, by means of in situ annealing, prepared by layer-by-layer transfer of the chemically grown graphene layer, the work functions of FLGs showed a sequential increase as the graphene layers increase, despite of random interlayer-stacking, resulting in the modulation of photovoltaic behaviors of FLGs/Si interfaces.
-
Graphene is the hottest topic in condensed-matter physics due to its unusual electronic structures such as Dirac cones and massless linear dispersions. Graphene can be epitaxially grown on various metal surfaces with chemical vapor deposition (CVD) processes. Such epitaxial graphene shows modified electronic structures caused by substrates. In the method for removal of the effect of substrate, there are bi, tri-layer graphene, gold intercalation, and oxygen intercalation. Here, We will present the changes of geometric and electronic structure of graphene grown on Ru(0001) by oxygen intercalation between graphene and Ru(0001). Using Scanning tunneling microscopy (STM) and spectroscopy (STS), we observed the aspect that the band gap features near the fermi level of graphene on Ru(0001) system is shifted and narrow. Based on the observed results, two effects by intercalated oxygen were considered.
-
최근 유기물을 사용한 유기 태양 전지의 주된 제작 방식은 유기물질을 유기용매에 녹여 사용 하는 스핀코팅 방식이다. 스핀코팅은 박막 형성이 쉽고 대량생산이 용이하다는 장점이 있지만, 표면분석의 측면에서 보면 박막이 한번에 형성되기 때문에 전극 위에 유기물질이 박막을 형성하는 순간의 계면을 측정하기 어렵다. 그에 반해 진공전기분무 방식은 진공에서 얇은 박막에서부터 점차적으로 두께를 늘려가며 증착 할 수 있고 또한 증착이 진공에서 이루어져서 불순물을 최소화 할 수 있기 때문에 표면분석의 측면에서 용이하다. 특히 본 실험에서는 유기 태양전지에서 벌크 이질접합(bulk heterojunction)을 만드는데 널리 쓰이는 물질인 Poly(3-hexythiophene) (P3HT)과 (PCBM)을 toluene에 녹인 후(0.2 mg/ml), 4-5 kV 사이의 전압을 인가하여, 고전압을 걸어 준 뒤
$5{\times}10^{-6}$ torr의 조건에서 분무하여 Indium Tin Oxide (ITO) 위에 박막의 두께를 늘려가며 증착시켰다. 이렇게 ITO 위에 만들어진 P3HT와 PCBM의 박막을 Photoemission spectroscopic (PES)을 이용하여 따른 화학적 구조와 전자구조를 분석하였고, 또한 동일한 농도의 용액으로 스핀코팅 방법을 이용하여 만든 시료와 앞서 언급한 조건의 진공전기분무 방법을 이용하여 만든 시료 사이의 표면거칠기와 morphology는 Atomic Force Microscopy(AFM)을 이용하여 비교 분석하였다. -
This study examined the synthesis of large area graphene and the change of its characteristics depending on the ratio of CH4/H2 by using the thermal CVD methods and performed the experiments to control the electron-hole conduction and Dirac-point of graphene by using chemical doping methods. Firstly, with regard to the characteristics of the large area graphene depending on the ratio of CH4/H2, hydrophobic characteristics of the graphene changed to hydrophilic characteristics as the ratio of CH4/H2 reduces. The angle of contact also increased to 78
$^{\circ}$ from 58$^{\circ}$ . According to the results of Raman spectroscopy showing the degree of defect, the ratio of I(D)/I(G) increases to 0.42% from 0.25% and the surface resistance also increased to 950${\Omega}$ from 750${\Omega}$ /sq. As for the graphene synthesis at the high temperature of 1,000$^{\circ}$ by using CH4/H2 in a Cu-Foil, the possibility of graphene formation was determined as a function of the ratio of H2 included in the fixed quantity of CH4 as per specifications of every equipment. It was observed that the excessive amount of H2 prevented graphene from forming, as extra H-atoms and molecules activated the reaction to C-bond of graphene. Secondly, in the experiment for the electron-hole conduction and the Dirac-point of graphene using the chemical doping method, the shift of Dirac-point and the change in the electron-hole conduction were observed for both the N-type (PEI) and the P-type (Diazonium) dopings. The ID-VG results show that, for the N-type (PEI) doped graphene, Dirac-point shifted to the left (-voltage direction) by 90V at an hour and by 130 V at 2 hours respectively, compared to the pristine graphene. Carrier mobility was also reduced by 1,600 cm2/Vs (1 hour) and 1,100 cm2/Vs (2 hours), compared to the maximum hole mobility of the pristine graphene. -
Lee, Dae-Yeong;Zang, Gang;Ra, Chang-Ho;Shen, Tian-Zi;Lee, Seung-Hwan;Lim, Yeong-Dae;Li, Hua-Min;Yoo, Won-Jong 120
Graphene is a carbon based material and it has great potential of being utilized in various fields such as electronics, optics, and mechanics. In order to develop graphene-based logic systems, graphene field-effect transistor (GFET) has been extensively explored. GFET requires supporting devices, such as volatile memory, to function in an embedded logic system. As far as we understand, graphene has not been studied for volatile memory application, although several graphene non-volatile memories (GNVMs) have been reported. However, we think that these GNVM are unable to serve the logic system properly due to the very slow program/read speed. In this study, a GVM based on the GFET structure and using an engineered graphene channel is proposed. By manipulating the deposition condition, charge traps are introduced to graphene channel, which store charges temporarily, so as to enable volatile data storage for GFET. The proposed GVM shows satisfying performance in fast program/erase (P/E) and read speed. Moreover, this GVM has good compatibility with GFET in device fabrication process. This GVM can be designed to be dynamic random access memory (DRAM) in serving the logic systems application. We demonstrated GVM with the structure of FET. By manipulating the graphene synthesis process, we could engineer the charge trap density of graphene layer. In the range that our measurement system can support, we achieved a high performance of GVM in refresh (>10${\mu}s$ ) and retention time (~100 s). Because of high speed, when compared with other graphene based memory devices, GVM proposed in this study can be a strong contender for future electrical system applications. -
Graphene formed by chemical vapor deposition was exposed to the various plasmas of Ar, O2, N2, and H2 to examine its effects on the bonding properties of graphene to metal. Upon the Ar plasma exposure of patterned graphene, the subsequently deposited metal electrodes remained intact, enabling successful fabrication of field effect transistor (FET) arrays. The effects of enhancing adhesion between graphene and metals were more evident from O2 plasmas than Ar, N2, and H2 plasmas, suggesting that chemical reaction of O radicals induces hydrophilic property of graphene more effectively than chemical reaction of H and N radicals and physical bombardment of Ar ions. From the electrical measurements (drain current vs. gate voltage) of field effect transistors before and after Ar plasma exposure, it was confirmed that the plasma treatment is very effective in controlling bonding properties of graphene to metals accurately without requiring buffer layers.
-
HgCdTe는 고성능 적외선 센서 재료로 널리 사용되고 있다. 현재 상용화된 HgCdTe 소재는 통상적으로 액상 에피 성장법으로 제조 되고 있다. 액상 에피 성장법에 의해 제조된 HgCdTe는 갓 성장 상태에서 많은 양의 Hg-공공(vacancy)을 함유하게 되며 적외선 소자의 응용을 위해서는 이러한 Hg-공공을 채우기 위한 Hg-분위기 열처리 공정을 거치게 된다. 열처리 혹은 성장 공정 시 HgCdTe 소재 내에 발생하는 마이크로 혹은 나노스케일의 조성의 변화는 응력의 집중을 가져오며 이는 전자, 혹은 정공의 응집을 가져와 소자 동작의 불균일성을 야기한다. 본 연구에서는 액상 에피 성장법으로 성장 된 HgCdTe 박막내에 존재하는 응력의 분포와 Hg-공공을 채우기 위한 열처리 과정에서 생성 또는 소멸되는 응력의 변화를 Geometric phase strain 분석법으로 관찰하였다. 분석결과, 응력의 집중된 부분은 주로 성장 시 석출된 Te 및 Hg-공공으로 부터 기인함을 확인하였다. Hg-분위기 열처리를 통하여 석출된 Te 제거 및 Hg-공공의 감소를 확인하였고 이에 따른 응력의 집중 부분도 해소됨을 알 수 있었다.
-
알루미늄은 경량 금속으로 부식 저항력이 높아 철을 부식으로부터 보호하기 위한 표면처리 소재로 사용되고 있다. 철의 부식을 방지하기 위해서 알루미늄을 코팅하는 경우, 코팅 방법은 용융도금법이 주로 사용되고 있으며, 알루미늄을 빛의 반사막으로 활용하는 경우 진공 중에서 물리기상증착(physical vapor deposition; PVD)법을 사용하기도 한다. 알루미늄 박막을 물리기상 증착으로 코팅하면 박막성장 초기에 핵(nucleus)을 형성하고, 형성된 핵을 중심으로 주상정(column)으로 박막이 성장하는 것이 일반적이다. 알루미늄 박막의 주상정과 주상정 사이에 공극(pore)이 존재하기 때문에 알루미늄 박막을 부식방지 막으로 이용하기 위해서는 두께를 증가시켜야 한다. 본 연구에서는 스퍼터링(unbalanced magnetron sputtering)을 이용하여 치밀한 조직을 갖는 알루미늄 박막을 코팅할 수 있는 공정변수를 도출하고, 치밀한 알루미늄 조직이 철의 부식에 미치는 영향을 평가하였다. 기판은 냉연강판(cold rolled steel sheet)이 사용되었으며, 알루미늄 타겟의 크기는 직경 4 inch이었다. 알루미늄 박막의 미세조직과 밀도에 영향을 주는 공정변수를 확인하기 위해서 스퍼터링 파워, 공정 압력, 외부 자기장 세기 등의 조건을 변화시켜 코팅을 실시하였다. 알루미늄 박막의 밀도 변화에 가장 큰 영향을 준 공정변수는 외부 자기장의 세기와 방향이었다. 알루미늄 박막이 약 3
${\mu}m$ 의 두께로 코팅된 냉연강판을 염수분무시험(salt spray test, 5% NaCl)으로 부식특성을 평가한 결과, 시험을 시작한 후 120시간 후에도 적청이 발생하지 않았다. 이러한 결과는 기존의 동일한 두께를 갖는 알루미늄이 코팅된 강판의 내부식 특성의 2배의 성능을 보여준다. -
This study investigated the interaction of varied plasma power with ultralow-k toluene-tetraethoxysilane (TEOS) hybrid plasma polymer thin films, as well as changing electrical and mechanical properties. The hybrid thin films were deposited on silicon(100) substrates by plasma enhanced chemical vapor deposition (PECVD) system. Toluene and tetraethoxysilane were utilized as organic and inorganic precursors. In order to compare the electrical and the mechanical properties, we grew the hybrid thin films under various conditions such as rf power of plasma, bubbling ratio of TEOS to toluene, and post annealing temperature. The hybrid plasma polymer thin films were characterized by Fourier transform infrared (FT-IR) spectroscopy, atomic force microscopy (AFM), nanoindenter, I-V curves, and capacitance. Also, the hybrid thin films were analyzed by using ellipsometry. The refractive indices varied with the RF power, the bubbling ratio of TEOS to toluene, and the annealing temperature. To analyze their trends of electrical and mechanical properties, the thin films were grown under conditions of various rf powers. The IR spectra showed them to have completely different chemical functionalities from the liquid toluene and TEOS precursors. Also, The SiO peak intensity increased with increasing TEOS bubbling ratio, and the -OH and the CO peak intensities decreased with increasing annealing temperature. The AFM images showed changing of surface roughness that depended on different deposition rf powers. An nanoindenter was used to measure the hardness and Young' modulus and showed that both these values increased as the deposition RF power increased; these values also changed with the bubbling ratio of TEOS to toluene and with the annealing temperature. From the field emission scanning electron microscopy (FE-SEM) results, the thickness of the thin films was determined before and after the annealing, with the thickness shrinkage (%) being measured by using SEM cross-sectional images.
-
In this study, we investigated the electrical, optical, structural, and surface properties of indium zinc oxide (IZO)/Ag/IZO multilayer electrode grown by specially designed roll-to-roll sputtering system using the flexible substrate. By the continuous roll-to-roll sputtering of the bottom IZO, Ag, and top IZO layers at room temperature, they were able to fabricate a high quality IZO/Ag/IZO multilayer electrode. At optimized conditions, the bottom IZO layer (40 nm) was deposited on a flexible substrate. After deposition of the Bottom IZO layer, Ag layer was deposited onto the bottom IZO film as a function of DC power (200~500 W). Subsequently, the top IZO layer was deposited onto the Ag layer at identical deposition conditions to the bottom IZO layer (40 nm). We investigated the characteristics of IZO/Ag/IZO multilayer electrode as a function of Ag thickness. It was found that the electrical and optical properties of IZO/Ag/IZO multilayer electrode was mainly affected thickness of the Ag layer at optimized condition. In case of IZO/Ag/IZO multilayer electrode with the Ag power (350W), it exhibited a low sheet resistance of 7.1 ohm/square and a high transparency of 86.4%. Furthermore, we fabricated the touch screen panel using the IZO/Ag/IZO multilayer electrode, which demonstrate the possibility of the IZO/Ag/IZO multilayer electrode grown by roll-to-roll sputtering system as a transparent conducting layer in the touch screen panel.
-
수직으로 정렬된 1차원 ZnO nanorod arrays (NRAs)는 효율적인 반사방지 특성의 기하학적 구조를 갖고 있어, 크기와 모양 그리고 정렬형태의 다양한 설계를 통해 빛의 흡수율과 광 추출효율을 증가시켜 광전소자 및 태양광 소자의 성능을 향상시킬 수 있으며, 최근 이러한 연구에 대한 관심이 집중되고 있다. 본 연구에서는 ZnO NRAs의 넓은 표면적과 불연속적인 독특한 표면을 활용하여 광학적 특성을 효과적으로 개선하였다. 실험을 위해, thermal evaporator를 사용하여 Au와 Ag 그리고 e-beam evaporator를 사용하여
$SiO_2$ 를 ZnO NRAs 표면에 여러 가지 조건으로 증착하여, 독특한 계층 나노구조의 형성과 광학적 특성을 관찰하였다. 표면 roughness가 큰 FTO/glass 위에 수열합성법을 통해 끝이 뾰족하고, 비스듬히 정렬된 ZnO nano-tip array에 Au를 증착할 경우 ZnO/Au core/shell 구조가 형성되며, Au의 광 흡수율이 매우 크게 증가함을 관찰할 수 있었다. 반면 flat한 표면위에 빽빽하게 수직으로 정렬된 ZnO NRAs를 성장시켜 그 위에 Ag를 증착할 경우, evaporated Ag flux가 ZnO nanorod의 사이에 scattered 되어 ZnO nanorod 기둥의 측면에 직경이 50 nm 이하인 nanoparticles이 decorated 되어 국소표면플라즈몬 현상이 관찰되었으며, 이러한 효과를 통해 입사되는 빛의 흡수율을 효과적으로 증가시킬 수 있었다. 또한, ZnO NRAs의 표면에$SiO_2$ 를 e-beam evaporator를 이용하여 증착할 경우, 자연적으로 vapor flux와 ZnO nanorod 사이에 oblique angle이$80^{\circ}$ 이상으로 증가하여$SiO_2$ nanorods가 자발적으로 형성되어 ZnO/$SiO_2$ branch 계층형태의 나노구조를 제작할 수 있었다. 이러한 구조는 유효 graded refractive index profile로 인해 기존의 ZnO NRAs보다 개선된 반사방지 특성을 나타냈다. 이러한 계층 나노구조의 광학적 특성을 시뮬레이션을 통해 이론적으로 분석을 통해 광전자 소자의 성능의 개선에 대한 적용 가능성을 조사하였다. -
We investigate the transparent TFTs using a transparent ZnSnO3 (ZTO)/Ag/ZTO multilayer electrode as S/D electrodes with low resistivity of
$3.24{\times}10^{-5}$ ohm-cm, and high transparency of 86.29% in ZTO based TFTs. The Transparent TFTs (TTFTs) are prepared on glass substrate coated 100 nm of ITO thin film. On atomic layer deposited$Al_2\;O_3$ , 50 nm ZTO layer is deposited by RF magnetron sputtering through a shadow mask for channel layer using ZTO target with 1 : 1 molar ratio of ZnO :$SnO_2$ . The power of 100W, the working pressure of 2mTorr, and the gas flow of Ar 20 sccm during the ZTO deposition. After channel layer deposition, a ZTO (35 nm)/Ag (12 nm)/ZTO(35 nm) multilayer is deposited by DC/RF magnetron sputtering to form transparent S/D electrodes which are patterned through the shadow mask. Devices are annealed in air at 300$^{\circ}C$ for 30 min following ZTO deposition. Using UV/Visible spectrometer, the optical transmittances of the TTFT using ZTO/Ag/ ZTO multilayer electrodes are compared with TFT using Mo electrode. The structural properties of ZTO based TTFT with ZTO/Ag/ZTO multilayer electrodes are analyzed by high resolution transmission electron microscopy (HREM) and X-ray photoelectron spectroscopy (XPS). The transfer and output characterization of ZTO TTFTs are examined by a customized probe station with HP4145B system in are. -
TTFT-LCD에 투명전극으로 사용되고 있는 IGZO 박막의 특성을 조사하기 위하여 RF magnetron sputtering을 이용하여 Ar Gas 유량 변화에 따른 IGZO 박막을 유리 기판 위에 제작하고 투명전극의 구조적, 광학적, 전기적 특성을 조사하였다. 소결된 타겟으로는 In:Ga:ZnO를 각각 1 : 1 : 2 mol%의 조성비로 혼합하여 이용하였으며, 30mm
${\times}$ 30 mm의 Corning1737 유리기판에 Sputtering 방식으로 증착 하였다. 장비 조건으로는 Rf power를 25 W로 고정 시켰으며, 실험변수로는 초기합력은$2.0{\times}10^{-6}$ Torr 이하로 하였으며, 증착압력은$9.0{\times}10^{-3}$ Torr로 하였다. Ar Gas를 30, 50, 70, 90 sccm으로 변화를 주어 실험을 진행하였다. 증착온도는 실온으로 고정하였다. 분석 결과로는 Ar Gas가 30 sccm일 때 AFM분석결과 0.3 nm 이하의 Roughness를 가졌으며, XRD분석결과 34$^{\circ}$ 부근에서 (002) c-축 방향성 구조임을 확인할수 있었다. UV-Visible-NIR 측정결과 가시광선 영역에서 80% 이상의 투과도를 만족 시켰으며, Hall 측정결과 Carrier concentration$2.7{\times}10^{19}\;cm^{-3}$ , Mobility 8.4$cm^2/v_{-s}$ 이며, Resistivity$8.86{\times}10^{-3}$ , 투명전극으로 사용 가능함을 확인할 수 있었다. -
냉음극 변압기 전원 소스를 이용하여 저진공에서 플라즈마를 발생시키는 시스템을 개발하였다. 또한 이 장치를 이용하여 도핑된 산화막 증착 기술을 연구하였다. 이 때 도핑 전구체는 액체 소스였으며 이를 기화시켜 사용하였다. 특히 p 타입이 도핑된 이산화규소 박막 증착을 상온에서 실시하였다. 공정 압력은 400~1,000 mT였으며, 전압은 약 1,100~2,100 V 범위에서 조절하였다. 증착된 박막은 박막 두께와 홀 측정을 실시하였다. 홀 측정을 위한 인듐 금속 접합을 400 C에서 실시하였다. 결과를 요약하면, 플라즈마 공정 압력이 400에서 1,000 mTorr로 증가함에 따라 박막 증착 속도는 약 240~440
${\AA}$ /min이었다. 또한 증착된 p-SiO2의 벌크 농도는 같은 압력 증가에 따라 약$1.2{\times}10^{19}$ 에서$6.5{\times}10^{18}/cm^3$ 으로 절반 정도 감소하였다. 그에 따라 도핑된 산화막의 비저항은$~1.4{\times}10^{-3}$ 에서$2.5{\times}10^{-3}{\Omega}{\cdot}cm$ 로 증가하였다. 홀 이동도는 약 380~400$cm^2/V{\cdot}s$ 를 유지하였다. 또한 전압이 1,100 에서 2,100 V로 증가함에 따라 산화막의 증착 속도는 약 330에서 410${\AA}$ /min으로 증가하였다. 그러나 전압이 증가해도 벌크 농도는 약 8,9~$6.6{\times}10^{18}/cm^3$ 의 범위였다. 보다 자세한 결과는 발표를 통해 설명할 것이다. -
박막의 제조는 많은 연구의 가장 기초가 되는 시편을 만드는 과정으로 현대의 과학기술에서 매우 중요한 공정 중의 하나이다. 그러나 이러한 박막의 제조는 제조하는 사람의 숙련도나 장치에 의존하며 경우에 따라서는 원하는 특성의 박막을 제조하는 것이 매우 어려운 작업이 되기도 한다. 따라서 경험이 없는 연구자의 경우는 때때로 까다로움과 번거로움을 느끼게 되며, 안정된 공정을 찾기까지 많은 시간을 소비 하게 된다. 특히 부적절한 증발방법의 선정에 따른 실험 결과는 경제적인 손실을 초래할 뿐만 아니라 실험하는 사람을 좌절시키는 가장 큰 요인이 되어왔다. 진공증착에 의한 박막의 제조는 증발법과 스퍼터링, 이온플레이팅 등의 방법이 있으며 이중 증발을 이용한 박막의 제조에는 저항가열 증발, 전자빔 가열 증발, 유도가열 증발 등의 방법으로 구분하고 있다. 저항가열 증발원은 가격이 저렴하다는 장점은 있으나 증발원이 손쉽게 파손되거나 증발량이 일정하지 않아 박막의 정밀 제어가 어려울 뿐만 아니라 때에 따라서는 1
${\mu}m$ 이상의 후막 형성에도 어려움이 있는 등 많은 제약이 있다. 따라서 적절한 증발원의 선정이 실험의 효율성을 좌우하는 경우가 많다. 적절한 증발원의 선정과 효율적인 실험을 위해 증발원 제조회사에서는 증발원의 선정과 증발 조건과 관련된 자료를 카탈로그 형태로 발행하고 있다. 그러나 그러한 자료만으로는 객관적인 정보를 얻기에 충분하지 못한 경우가 많으며, 어떤 경우에는 저자 등의 경험과 일치하지 않는 정보도 포함하고 있었다. 전자빔 증발원은 냉각이 되는 Crucible에 물질을 담고 고전압의 전자빔으로 물질을 가열시켜 증발시키는 증발원으로 1960년대 이후 박막 제조 실험에 이용되기 시작하였다. 전자빔은 고순도의 피막 제조가 가능하고 증발물질의 교체가 쉬우며 고속 증발이 가능함은 물론 다층막의 제조가 용이하고 증발물질의 제조비용이 저렴하다는 장점이 있다. 이러한 장점 때문에 1970년대 이후에는 전자빔을 이용한 박막제조가 폭 넓게 이루어졌고 이때를 즈음하여 전자빔을 이용한 물질의 증발 특성이 논문으로 발표되기도 하였다. 본 연구에서는 증발에 관한 저자들의 경험을 바탕으로 저항가열과 전자빔을 이용하여 증발실험을 진행한 물질계를 중심으로 각 물질의 증발특성과 가장 효율적인 Liner 등에 대해 기술하였다. 특히, 각종 물질의 증발 특성을 체계화함은 물론 효율적인 증발 방법을 객관적인 Data와 함께 제공하여 효과적인 박막 제조 실험에 도움이 되고자 하였다. -
The sheet resistance (Rs) of undoped GaN films on AlN/c-plane sapphire substrate was investigated in which the AlN films were grown by R. F. magetron sputtering method. The Rs was strongly dependent on the AlN layer thickness and semi-insulating behavior was observed. To clarify the effect of crystalline property on Rs, the crystal structure of the GaN films has been studied using x-ray scattering and transmission electron microscopy. A compressive strain was introduced by the presence of AlN nucleation layer (NL) and was gradually relaxed as increasing AlN NL thickness. This relaxation produced more threading dislocations (TD) of edge-type. Moreover, the surface morphology of the GaN film was changed at thicker AlN layer condition, which was originated by the crossover from planar to island grains of AlN. Thus, rough surface might produce more dislocations. The edge and mixed dislocations propagating from the interface between the GaN film and the AlN buffer layer affected the electric resistance of GaN film.
-
최근 연구중인 소자들의 크기가 점차 나노 크기를 가짐에 따라서 나노 영역에 대한 물성 분석 연구의 필요성이 대두되고 있다. 특히 나노 크기를 가지는 소자에 대한 기계적 특성은 기존의 마이크로 이상의 소자와는 다른 특성을 보이는 것으로 보고되고 있다. 그러나 이러한 나노 크기에 대한 연구에서 대부분을 차지하는 분광학적, 전기적 방법은 측정 영역 한계와 일정 깊이에 대한 평균적인 정보를 제공하게 된다. 본 연구에서는 나노트라이볼로지 분석의 대표적인 Nano-indenter와 Scanning Probe Microscopy(SPM) 분석을 통하여 박막의 수 혹은 수십 나노 미만의 영역과 깊이에 대한 기계적 물성을 연구하였고, 이를 기반으로 수십 나노 이하 두께를 가지는 W-N 확산박지막에 대한 연구를 실시하였다. 연구 결과에 의하면, 박막의 표면 hardness는 박막의 두께가 감소함에 따라서 4.19 GPa에서 3.51 GPa로 감소하였고, Weibull modulus를 통한 박막의 균일도는 2.75에서 7.91로 급격히 증가하는 현상을 나타내었다. 또한 SPM의 Kelvin probe force microscopy (KPFM), Force modulation microscopy (FMM) mode를 활용하여 표면에서의 Nitrogen 흡착에 의한 영상, 전기적 및 표면 탄성에 대한 연구를 실시하였다.
-
양자점은 전자와 양공을 3차원으로 속박 시키므로 기존의 bulk나 양자우물보다 양자점을 이용한 레이저 다이오드의 경우 낮은 문턱 전류, 높은 미분이득 및 온도 안전성의 장점이 있을 거라 기대되고 있다. 그러나, 양자점은 낮은 areal coverage 때문에 높은 속박효율을 얻지 못하고 있다. 이러한 양자점의 문제점을 해결하기 위해 양자점을 양자우물 안에 성장시켜 운반자들의 포획을 향상시키는 방법들이 연구되고 있다. 양자우물 안에 양자점을 넣으면 양자우물이 운반자들의 포획을 증가 시키고, 열적 방출도 억제하여 온도 안정성이 향상 되는 것으로 알려져 있다. 광통신 대역의 1.3
${\mu}m$ 경우, GaAs계를 이용하여 InAs 양자점을 strained InGaAs 박막을 우물층으로 한 dot-in-a-well 구조의 연구는 몇몇 보고된 바 있다. 그러나 InP계를 사용하는 1.55${\mu}m$ 대역에서 dot-in-a-well구조의 연구는 아직 미미하다. 본 연구에서는 유기 금속 화학 증착법(metal organic chemical vapor deposition)을 이용하여 InP 기판 위에 InAs 양자점을 자발성장법으로 성장하였으며 dot-in-a-well 구조에서 우물층으로 1.35${\mu}m$ 파장의$In_{0.69}Ga_{0.31}As_{0.67}P_{0.33}$ (1.35Q)를, 장벽층으로는 1.1${\mu}m$ 파장의$In_{0.85}Ga_{0.15}As_{0.32}P_{0.68}$ (1.1Q)를 사용하였다. 양자우물층과 장벽층은 모두 InP 기판과 격자가 일치하는 조건으로 성장하였다. III족 원료로는 trimethylindium (TMI)와 trimethylgalium (TMGa)을 사용하였으며 V족 원료 가스로는$PH_3$ 100%,$AsH_3$ 100%를, carrier gas로는$H_2$ 를 사용하였다. InP buffer층의 성장 온도는 640$^{\circ}C$ 이며 양자점 성장 온도는 520$^{\circ}C$ 이다. 양자점 형성은 원자력간 현미경(Atomic force microscopy)를 이용하여 확인하였으며, 박막의 결정성은 쌍결정 회절분석(Double crystal x-ray deffractometry)를 이용하여 확인하였다. 확인된 성장 조건을 이용하여 양자점 시료를 성장하였으며 광여기분광법(Photoluminescence)을 이용하여 광특성을 분석하였다. Fig. 1은 dot in a barrier 와 dot-in-a-well 시료의 성장구조이다. Fig. 1(a)는 일반적인 dot-in-a-barrier 구조로 InP buffer층을 성장하고 1.1Q를 100 nm 성장한 후 양자점을 성장하였다. 그 후 1.1Q 100 nm와 InP 100 nm로 capping하였다. Fig. 1(b)는 dot-in-a-well 구조로 InP buffer층을 성장하고 1.1Q를 100 nm 성장 후 1.35Q 우물층을 4 nm 성장하였다. 그 위에 InAs 양자점을 성장하였다. 그 후에 1.35Q 우물층을 4 nm 성장하고 1.1Q 100 nm와 InP 100 nm로 capping하였다. Fig. 2는 dot-in-a-barrier 시료와 dot-in-a-well 시료의 상온 PL data이다. Dot-in-a-barrier 시료의 PL 파장은 1544 nm이며 반치폭은 79.70 meV이다. Dot-in-a-well 시료의 파장은 1546 nm이며 반치폭은 70.80 meV이다. 두 시료의 PL 파장 변화는 없으며, 반치폭은 dot-in-a-well 시료가 8.9 meV 감소하였다. Dot-in-a-well 시료의 PL peak 강도는 57% 증가하였으며 적분강도(integration intensity)는 45%가 증가하였다. PL 데이터에서 높은 에너지의 반치폭 변화는 없으며 낮은 에너지의 반치폭은 8 meV 감소하였다. 적분강도 증가에서 dot-in-a-well 구조가 dot-in-a-barrier 구조보다 전자-양공의 재결합이 증가한다는 것을 알 수 있으며, 반치폭 변화로부터 특히 높은 에너지를 갖는 작은 양자점에서의 재결합이 증가 된 것을 알 수 있다. 이는 양자우물이 장벽보다 전자-양공의 구속력을 증가시키기 때문에 양자점에 전자와 양공의 공급을 증가시키기 때문이다. 따라서 낮은 에너지를 가지는 양자점을 모두 채우고 높은 에너지를 가지는 양자점까지 채우게 되므로, 높은 에너지를 가지는 양자점에서의 전자-양공 재결합이 증가되었기 때문이다. 뿐만 아니라 파장 변화 없이 PL peak 강도와 적분강도가 증가하고 낮은 에너지 쪽의 반치폭이 감소한 것으로부터 에너지가 낮은 양자점보다는 에너지가 높은 양자점에서의 전자-양공 재결합율이 급증하였음을 알 수 있다. 우리는 이와 같은 연구에서 InP계를 이용해 1.55${\mu}m$ 에서도 dot in a well구조를 성장 하여 더 좋은 특성을 낼 수 있으며 앞으로 많은 연구가 필요할 것이라 생각한다. -
AlSb는 광전자 소자응용에 매우 유용한 재료이며 이를 이용한 반도체소자 설계 및 밴드갭 엔지니어링을 위해서는 화합물 반도체의 전자밴드구조를 포함한 광학적 특성이 반드시 요구된다. 본 연구는 이러한 요구의 해결방안으로서 AlSb 화합물의 유전함수 온도의존성을 0.7~5.0 eV의 에너지 영역에서 타원편광분석법을 이용하여 분석하였다. AlSb는 산소와 급격히 반응하기 때문에, 대기 중에서 물질 고유의 광특성이 유지되기 어려울 뿐만 아니라, 박막 위에 생성되는 산화막 때문에 순수한 AlSb의 유전함수 측정이 불가능하다. 따라서 박막의 산화 효과를 최소화하기 위하여 초고진공 상태의 molecular beam epitaxy 챔버 안에서 800 K의 온도로 성장한 1.5
${\mu}m$ 두께의 AlSb 박막을 상온 300 K 까지 온도를 단계적으로 변화시켜가며 타원편광분석기를 이용하여 실시간으로 측정하였다. 각 온도에서 측정된 AlSb의 유전함수를 2차 미분하여 전이점(critical point)을 분석한 결과$E_0$ ,$E_0+{\Delta}_0$ ,$E_1$ ,$E_1+{\Delta}_1$ ,$E_0'$ ,$E_0'+{\Delta}_0'$ ,$E_2$ ,$E_2+{\Delta}_2$ 에 해당하는 각 전이점들의 온도 의존성을 확인할 수 있었다. 실험에서 측정된 특정 온도를 포함하여 임의의 온도에서의 AlSb의 유전함수를 유도하기 위하여 변수화모델을 사용하였고 이를 통하여 각 변수들의 온도 의존 궤적을 분석하였다. 2차 미분법을 이용한 전이점들의 온도의존성 분석결과를 기준으로 변수화 모델링을 진행하였으며 그 결과 각 온도에서 실제 유전함수와 근소한 차이를 갖는 AlSb의 유전함수 모델을 만들 수 있었다. 따라서 본 연구결과는 반도체 물성에 대한 학술적 측면뿐 아니라 고온에서의 소자공정 실시간 모니터링 및 반도체 소자 설계 등의 산업적 측면에서 매우 유용하게 사용될 것으로 기대된다. -
펄스 직류
$BCl_3$ 플라즈마의 전기적 특성과 GaAs의 건식식각을 연구하였다. 공정변수는 펄스 직류 전압 (350~550 V), 펄스 직류 주파수 (100~250 kHz), 리버스 시간 (0.4~1.2${\mu}s$ )이었다. 전기적 특성은 오실로스코프를 이용하여 분석하였다. 펄스 직류 전원의 경우 평균 전압이 일정하더라도 주파수가 커지거나 리버스 시간이 커지면 peak-to-peak 전압이 증가한다는 사실을 이해하였다. GaAs 식각 실험 후 샘플의 식각률, 식각 선택비, 표면 형상을 비교, 분석하였다. GaAs의 식각 결과는 식각 속도, 식각 선택비, 표면 형상, 잔류 물질 분석을 실시하엿다. 본 실험에서는 1대의 기계적 펌프만을 상ㅇ하여 진공 압력을 유지하였다. GaAs의 식각 속도는 10 sccm$BCl_3$ 를 사용한 경우 최대 0.4${\mu}m$ 까지 얻을 수 있었다. 감광제에 대한 최대 식각 선택비는 약 2.5 : 1이었다. BCl3 플라즈마의 경우 75 mTorr의 저진공 조건에서는 500 V, 250 kHz, 0.7${\mu}s$ 의 실험에서 가장 좋은 식각 특성을 얻을 수 있엇다. X-레이 광전 분석기 데이터에 의하면, 식각된 GaAs의 표면을 깨끗하였으며, 염소와 관련된 잔류 물질은 거의 발견되지 않았다. -
융복합플라즈마연구센터는 핵융합 연구의 기반이 되는 플라즈마 과학으로부터 파생된 플라즈마원천기술을 개발하고, 기존의 여러 기술들에 이 플라즈마기술의 접목하여 부가가치가 높은 신기술로 변화 및 산업체에 기술이전을 통하여 상용화를 추진하는 역할을 하도록 만들어진 국가핵융합연구소의 연구조직이다. 현재 국가핵융합연구소는 군산에 융복합플라즈마연구센터를 신축하고 있으며 앞으로의 발전 방향을 모색 중에 있다. 본 발표에서는 융복합플라즈마연구센터의 현재 연구 현황과 앞으로의 발전 방향에 대해 발표하게 될 것이다.
-
Microwave diagnostics method for plasma science and engineering is vigorous research area for its good characteristics such as high sensitivity, reliability, and broad measurement spectrum from low density plasma to high density. We investigate mechanism of microwave probes (hairpin, impedance and absorbtionf probe) and apply it for interpretation of full transmitted spectrum of cutoff probe. Mechanism of the spectrum having same key roles of I-V curve of Langmuir probe is not exactly revealed yet in spite of its importance. This study elucidates physics behind it using a circuit model and E/M wave simulation. Circuit model reveals exact cut-off peak frequency taking account of a collision frequency and a plasma frequency and it enable precise diagnostics of plasma densty from low pressure to high pressre. Cut-off like peaks have been obstacle for choosing cut-off peak is analyzed by E/M simulation and one of cutoff like peaks made by probe holder used for acquire plasma density with cutoff peak applying the hairpin relation. Furthermore, phase difference method for plasma density is conducted. This method uses a single microwave frequency source and it is low-priced.
-
발표자는 본 발표를 통해 현재 표준연구원에서 진행되고 있는 플라즈마 연구들 간단히 소개하는 시간을 가질까 한다. 표준연구원/진공센터내의 플라즈마팀은 진공기반구축사업,반도체진공공정실시간측정기술개발 사업등의 후원을 받아 지난 10년각 국내 최대의 플라즈마 연구 인프라를 갖추는데 성공하였으며 이를 바탕으로 해외 우주기관과 견줄만한 플라즈마 발생장치, 진단장치, 전산모사시스템 등을 확보한 상태이다. 본발표를 통해 그간 표준연구원에서 진행하고 있는 플라즈마 진단시스템, 모니터링 시스템, 플라즈마원개발, 시뮬레이션 연구, 공정해석 연구등을 간략하게 소개드릴려고 한다.
-
Diagnostics of plasma density and temperature play an important role for monitoring plasma processing and Laser Thomson scattering is a one of the most accurate diagnostic technique for measuring plasma density and temperature because of none-perturbation to plasma among various diagnostic techniques invented to measure plasma density and temperature. I will briefly review Laser Thomson scattering experiment performed in KRISS and difficulties for measuring the electron velocity distribution such as Gaussian due to low signal-to-noise ratio with showing results that we got until now. This work is an intermediate step in a process that we will get a reliable data which shows physical phenomenon of plasma compared with other diagnostic techniques and results.
-
Recently, multi-hole electrode RF capacitively coupled plasma discharge is being used in the deposition of microcrystalline silicon for thin film solar cell to increase the speed of deposition. To make efficient multi-hole electrode RF capacitively coupled plasma discharge, the hole diameter is to be designed concerning the plasma parameters. In past studies, the relationship between plasma parameters such as pressures and gas species, and hole diameter for efficient plasma density enhancement is experimentally shown. In the presentation, the relationship between plasma deriving frequency and hole diameter for efficient multi-hole electrode RF capacitively coupled plasma discharge is shown. In usual capacitively coupled plasma discharge, plasma parameter, such as plasma density, plasma impedence and plasma temperature, change as frequency increases. Because of the change, the optimum hole diameter of the multi-hole electrode RF capacitively coupled plasma for high density plasma is thought to be modified when the plasma deriving frequency changes. To see the frequency effect on the multi-hole RF capacitively coupled plasma is discharged and one of its electrode is changed from a plane electrode to a variety of multi-hole electrodes with different hole diameters. The discharge is derived by RF power source with various frequency and the plasma parameter is measured with RF compensated single Langmuir probe. The shrinkage of the hole diameter for efficient discharge is observed as the plasma deriving frequency increases.
-
Processing a large area substrate for liquid crystal display (LCD) or solar panel applications in a capacitively coupled plasma (CCP) reactor is becoming increasingly challenging because of the size of the substrate size is no longer negligible compared to the wavelength of the applied radio frequency (RF) power. The situation is even worse when the driving frequency is increased to the Very High Frequency (VHF) range. When the substrate size is still smaller than 1/8 of the wavelength, one can obtain reasonably uniform process results by utilizing with methods such as tailoring the precursor gas distribution by adjustingthrough shower head hole distribution or hole size modification, locally adjusting the distance between the substrate and the electrode, and shaping shower head holes to modulate the hollow cathode effect modifying theand plasma density distribution by shaping shower head holes to adjust the follow cathode effect. At higher frequencies, such as 40 MHz for Gen 8.5 (2.2 m
${\times}$ 2.6 m substrate), these methods are not effective, because the substrate is large enough that first node of the standing wave appears within the substrate. In such a case, the plasma discharge cannot be sustained at the node and results in an extremely non-uniform process. At Applied Materials, we have studied several methods of modifying the standing wave pattern to adjusting improve process non-uniformity for a Gen 8.5 size CCP reactor operating in the VHF range. First, we used magnetic materials (ferrite) to modify wave propagation. We placed ferrite blocks along two opposing edges of the powered electrode. This changes the boundary condition for electro-magnetic waves, and as a result, the standing wave pattern is significantly stretched towards the ferrite lined edges. In conjunction with a phase modulation technique, we have seen improvement in process uniformity. Another method involves feeding 40 MHz from four feed points near the four corners of the electrode. The phase between each feed points are dynamically adjusted to modify the resulting interference pattern, which in turn modulate the plasma distribution in time and affect the process uniformity. We achieved process uniformity of <20% with this method. A third method involves using two frequencies. In this case 40 MHz is used in a supplementary manner to improve the performance of 13 MHz process. Even at 13 MHz, the RF electric field falls off around the corners and edges on a Gen 8.5 substrate. Although, the conventional methods mentioned above improve the uniformity, they have limitations, and they cannot compensate especially as the applied power is increased, which causes the wavelength becomes shorter. 40 MHz is used to overcome such limitations. 13 MHz is applied at the center, and 40 MHz at the four corners. By modulating the interference between the signals from the four feed points, we found that 40 MHz power is preferentially channeled towards the edges and corners. We will discuss an innovative method of controlling 40 MHz to achieve this effect. -
Recently, there have been many research activities to develop the large-area plasma source, which is able to generate the high-density plasma with relatively good uniformity, for the plasma processing in the thin-film solar cell and display panel industries. The large-area CCP sources have been applied to the PECVD process as well as the etching. Especially, the PECVD processes for the depositions of various films such as a-Si:H,
${\mu}c$ -Si:H, Si3N4, and SiO2 take a significant portion of processes. In order to achieve higher deposition rate (DR), good uniformity in large-area reactor, and good film quality (low defect density, high film strength, etc.), the application of VHF (>40 MHz) CCP is indispensible. However, the electromagnetic wave effect in the VHF CCP becomes an issue to resolve for the achievement of good uniformity of plasma and film. Here, we propose a new electrode as part of a method to resolve the standing wave effect in the large-area VHF CCP. The electrode is split up a series of strip-type electrodes and the strip-type electrodes and the ground ones are arranged by turns. The standing wave effect in the longitudinal direction of the strip-type electrode is reduced by using the multi-feeding method of VHF power and the uniformity in the transverse direction of the electrodes is achieved by controlling the gas flow and the gap length between the powered electrodes and the substrate. Also, we provide the process results for the growths of the a-Si:H and the${\mu}c$ -Si:H films. The high DR (2.4 nm/s for a-Si:H film and 1.5 nm/s for the${\mu}c$ -Si:H film), the controllable crystallinity (~70%) for the${\mu}c$ -Si:H film, and the relatively good uniformity (1% for a-Si:H film and 7% for the${\mu}c$ -Si:H film) can be obtained at the high frequency of 40 MHz in the large-area discharge (280 mm${\times}$ 540 mm). Finally, we will discuss the issues in expanding the multi-electrode to the 8G class large-area plasma processing (2.2 m${\times}$ 2.4 m) and in improving the process efficiency. -
아르곤 기체의 방사세기 또는 그 세기 비는 플라즈마 공정 진단에서 일반적으로 사용된다. 본 실험에서는 100 mTorr 압력 조건하의 유도결합 플라즈마(13.56 MHz)에서 E-H 모드 전이 영역, rf 바이어스(12.5 MHz) 전력 인가 및 N2 혼합 시 단순화한 충돌-방사 모델에 기초한 광방사 세기비 방법을 적용하여 플라즈마 변수를 진단하였다. 개발 프로그램 기반의 분광기를 사용하여 아르곤 기체의 특정 파장(750.4, 751.5 그리고 811.5 nm)들을 관측하였고, 동일한 조건하에서 정전 탐침법으을 이용하여 전자 에너지 분포함수의 변화도 측정 하였다. 맥스웰 전자 에너지 분포를 가정하는 일반적인 경우와 비교하여 볼 때 실제적인 전자 에너지 분포함수의 측정은 전자의 가열 메커니즘에 대한 상세한 정보를 제공함과 동시에 플라즈마 재흡수에 대한 보정을 가능하게 해준다. 광방사 세기비법에 의해 측정된 결과에 의하면, 750.4 nm/751.5 nm는 높은 에너지(>13.08 eV)의 전자들의 유효 전자온도에 대한 정보를 나타내는 반면 811.5 nm/750.4 nm는 아르곤 준안정 준위 밀도(1s5)에 대한 정보를 제공하게 된다. 수행된 실험 조건하에서, 측정된 준안정 준위 밀도는 E-H 모드 전이 영역에서 최대값을 나타내었고 바이어스 전압 및 N2 기체 혼합 비율이 증가함에 따라 감소하는 결과를 얻었다. 유효 전자온도의 경우 광방사 세기비법과 정전 탐침법 모두 같은 결과를 보여 주었는데, E-H 모드 전이 영역에서는 전자온도는 거의 일정하였고 바이어스 전압 및 N2 기체 혼합 비율이 증가함에 따라 전자온도는 증가하였다. 이러한 실험 결과는 방전 모드 전이, 바이어스 인가 그리고 혼합 기체 사용하는 공정 플라즈마를 이해하는데 있어 이들 변수의 진단이 중요한 요소임을 보여준다.
-
Electron-neutral collision frequency is one of the important parameters in the plasma physics. Recently, It is employed to monitor the plasma processing in industrial plasma engineering [1]. Using the wave-cutoff probe with network analyzer, the plasma impedance was measured in inductively coupled argon plasma and analyzed to determine the resonance frequency. The electron-neutral collision frequency is directly calculated from the resonance frequency. The calculated electron-neutral collision frequency is good agree with reference which is calculated by measured EEDF using single langmuir probe (SLP).
-
Atmospheric-pressure plasmas are used in a variety of materials processes. The lifetime of most atmospheric-pressure plasma sources is limits by electrode erosion due to energetic ion bombardment. These drawbacks were solved recently by several microplasma sources based on microstrip structure, which are more efficient and less prone to perturbations than other microplasma sources. In this work, we propose microplasma sources based on strip line and microstrip line, developed for the generation of microplasmas even in atmospheric air and analyzes these systems with microwave field simulation via comparative study with two previous microwave sources (Microstrip Spit Ring Resonator (MSRR), Microstrip Structure Source (MSS)).
-
그래핀(graphene)은 모든 탄소 원자가 표면에 존재하는 이차원 결정이기 때문에 다른 고체 표면에 고착될 때 인장 및 압축 변형(tensile & compressive strain)과 전하 도핑(charge doping)에 취약하다고 알려져 있다. 본 연구에서는 산화실리콘(SiO2/Si) 기판 위에 기계적으로 박리된 그래핀에 혼재되어 나타나는 기계적 변형과 전하 도핑 현상을 분석할 수 있는 라만 분광법 기술을 개발하고자 하였다. 대부분의 시료에서 기계적 변형으로 인해 라만 G-band와 2D-band의 진동수(
${\omega}$ )가 특별한 상관관계(${\Delta}{\omega}2D/{\Delta}{\omega}G$ = 2.0${\pm}$ 0.2)를 가진다는 사실을 확인하였다. 전자 친화도가 큰 F4-TCNQ (tetrafluorotetracianoquinodimethane)를 증착하여 화학적으로 p-형 전하 도핑을 유도한 그래핀에서는 기계적 변형과는 분명히 구별되는 상관관계(${\Delta}{\omega}2D/{\Delta}{\omega}G$ = 1.0${\pm}$ 0.3)가 관찰되었다. 본 연구는 라만 분광법을 통해 그래핀의 기계적 변형과 전하 도핑 정도를 정량적으로 분리해서 분석할 수 있는 방법을 제시해 준다. -
Nanocrystalline
$Ca_2Gd_8Si_6O_{26}$ (CGS) :$Sm^{3+}$ and CGS :$Tb^{3+}/Sm^{3+}$ phosphors were prepared by solvothermal reaction method for light emitting diode (LED) and field emission display (FED) applications. The XRD patterns of these phosphors confirmed their oxyapatite structure in the hexagonal lattice. The visible luminescence properties of these phosphors were investigated by exciting with ultraviolet (UV) or near-UV light and low voltage electron beam. The photoluminescence (PL) properties of$Ca_2Gd_8Si_6O_{26}$ (CGS) :$Sm^{3+}$ and CGS :$Tb^{3+}/Sm^{3+}$ phosphors were investigated as a function of$Sm^{3+}$ concentration. Cathodoluminescence (CL) properties were examined by changing the acceleration voltage. The CGS :$Sm^{3+}$ showed the dominant orange emission due to the$^4G_{5/2}{\rightarrow}^6H_{7/2}$ transition. The CGS :$Tb^{3+}/Sm^{3+}$ phosphor showed the green, white and orange emissions when excited with 275, 378, and 405 nm wavelengths, respectively. The chromaticity coordinates of these phosphors were comparable to or better than those of standard phosphors for LED or FED devices. -
Graphene has generated significant interest in the recent years as a functional material for electronics, sensing, and energy applications due to its unique electrical, optical, and mechanical properties. Much of the considerable interest in graphene stems from results obtained for samples mechanically exfoliated from graphite. Practical applications, however, require reliable and well-controlled methods for fabrication of large area graphene films. Recently high quality graphene layers were fabricated using chemical vapor deposition (CVD) on nickel and copper with methane as the source of the carbon atoms. Here, we report a simple and efficient method to synthesize graphene layers using solid carbon source. Few-layer graphene films are grown using filtered vacuum arc source (FVAS) technique by evaporation of carbon atom on Ni catalytic metal and subsequent annealing of the samples at 800
$^{\circ}$ C. In our system, carbon atoms diffuse into the Ni metal layer at elevated temperatures followed by their segregation as graphene on the free surface during the cooling down step as the solubility of carbon in the metal decrease. For a given annealing condition and cooling rate, the number of graphene layers is easily controlled by changing the thickness of the initially evaporated amorphous carbon film. Based on the Raman analysis, the quality of graphene is comparable to other synthesis methods found in the literature, such as CVD and chemical methods. -
A wide range of techniques for the direct-printing of functional materials have been developed for the fabrication of micro- and nanoscale structures and devices. Here we report a new direct patterning method, liquid bridge-mediated nanotransfer molding (LB-nTM), for the formation of two- or three-dimensional structures with feature sized as small as tens of nanometers over large areas up to 4". LB-nTM is based on the direct transfer of various materials from a mold to a substrate via a liquid bridge between them. The LB-nTM method was applied to the preparation of organic nanowire FETs on flexible substrates.
-
최근 여러 화학 반응에 대해서 일층(1L) 그래핀(graphene)이 복층(multi-layered) 그래핀보다 10 배 이상의 높은 반응성을 보인다는 사실이 알려졌다. 본 실험에서는 기판의 편평도와 기판-그래핀 간의 상호작용이 그래핀의 반응성에 미치는 영향을 이해하기 위해서, AFM(atomic force microscopy)과 라만 분광법을 이용하여 그래핀의 기체상 고온 산화반응을 연구하였다. 기계적 박리법을 통해 산화실리콘(SiO2/Si)과 마이카(mica) 기판 위에 고착된 그래핀 시료를 대조군으로 비교하였다. AFM 형상 분석으로부터 편평도가 낮은 산화실리콘 위에서는 그래핀의 두께가 작을수록 산화 속도가 크다는 사실을 확인하였다. 그러나 편평도가 높은 마이카 기판 위에서는 단일층 그래핀의 산화 속도가 산화실리콘 기판 위에서보다 현저하게 감소하고 두 겹 이상의 두께에서는 반응성의 차이가 없음을 발견하였다. 특히 마이카 위의 단일층 그래핀에서는 복층 그래핀과는 달리 산화에 의한 식각이 거의 일어나지 않아 화학적 안정성이 증대되었음을 알 수 있었다. 본 연구는 기판의 표면구조와 상호 작용을 통해 그래핀의 화학적 특성을 조절 할 수 있다는 가능성을 보여 준다.
-
In the present work,
$TiO_2$ fiilms supported by porous silica gel with high surface area synthesized by atomic layer deposition(ALD). Porous structure of silica substrate could be maintained even after deposit large amount of$TiO_2$ (500 cycles of ALD process), suggesting the differential growth mode of$TiO_2$ on top surface and inside the pore. All the$TiO_2$ -covered silica samples showed improved MB adsorption abilities, comparing to bare one. In addition, when silica surface was covered with$TiO_2$ films, MB adsorption capacity was almost fully recovered by re-annealing process (500$^{\circ}C$ , for 1 hr, in ambient pressure), whereas MB adsorption capacity of bare silica was decreased after re-heaing process. FT-IR study demonstrated that$TiO_2$ film could prevent deposition of surface-bound intermediate species during thermal decomposition of adsorbed MB molecules. Photocatalytic activity of$TiO_2$ /silica sample was also investigated. -
Titanium (IV) dioxide (TiO2) is one of the most attractive d-block transition metal functional oxides. Many applications of TiO2 such as dye-sensitized solar cells and photocatalyst have been widely investigated. To utilize solar energy efficiently, TiO2 should be well-aligned with a high surface area and promote the charge separation as well as electron transport. Herein, the TiO2 nanotubes were successfully fabricated by a template-directed method. The electrospun PEO(Polyethylene oxide, Molecular weight, 400k)fibers were used as a soft template for coating with titanium dioxide using an atomic layer deposition (ALD) technique. The deposition was conducted onto a template at 50
$^{\circ}C$ by using titaniumisopropoxide [Ti(OCH(CH3)2)4; TTIP] as precursors of TiO2. While the as-deposited TiO2 layers onto PEO fibers were completely amorphous with atomic layer deposition, the TiO2 layers after calcination at 500$^{\circ}C$ for 1 h were properly converted into polycrystalline nanostructured hallow TiO2 nanotube. The TiO2 nanotube with high surface area can be easily handled and reclaimed for use in future applications related to solar cell fabrications. -
Nanometer-sized noble metals can trap and guide sunlight for enhanced absorption of light based on surface plasmon that is beneficial for generation of hot electron flows. A pulse of high kinetic energy electrons (1-3 eV), or hot electrons, in metals can be generated after surface exposure to external energy, such as in the absorption of light or in exothermic chemical processes. These energetic electrons are not at thermal equilibrium with the metal atoms. It is highly probable that the correlation between hot electron generation and surface plasmon can offer a new guide for energy conversion systems [1-3]. We show that hot electron flow is generated on the modified gold thin film (<10 nm) of metal-semiconductor (TiO2) Schottky diodes by photon absorption, which is amplified by localized surface plasmon resonance. The short-circuit photocurrent obtained with low energy photons (lower than bandgap of TiO2, ~3.1-3.2 eV) is consistent with Fowler's law, confirming the presence of hot electron flows. The morphology of the metal thin film was modified to a connected gold island structure after heating to 120, 160, 200, and 240
$^{\circ}C$ . These connected island structures exhibit both a significant increase in hot electron flow and a localized surface plasmon with the peak energy at 550-570 nm, which was separately characterized with UV-Vis [4]. The result indicates a strong correlation between the hot electron flow and localized surface plasmon resonance with possible application in hot electron based solar cells and photodetectors. -
셀레늄(Selenium: Se) cracker cell을 이용하여 셀렌화한
$CuInSe_2$ (CIS)박막에 대해 연구한 결과를 발표하고자 한다. 화석연료의 과도한 사용으로 지구온난화라는 환경문제가 대두되면서 영구적이고 무상의 태양에너지 이용에 대한 필요성이 점차 높아지고 있다. 빛에너지를 전기에너지로 변화시키기 위한 태양전지는 재료에 따라 다양하게 개발되고 있으며 그 중 가장 주목을 받고 있는 것 중의 하나가$CuInSe_2$ 을 광흡수층으로 하는 CIS 박막 태양전지이다. CIS 박막은 태양전지의 광흡수층으로 사용되는데 직접천이형 밴드구조를 가지고 있고, 약$10^5$ $cm^{-1}$ 의 높은 광흡수계수를 가지고 있어 태양전지 광흡수층으로 적합한 물질로 각광받고 있다. CIS는 에너지 밴드갭이 ~1 eV로 실리콘과 유사한 밴드갭을 가지고 있으나 이는 Ga, Al을 In 대신 치환함으로 조절할 할 수 있다. 무엇보다도 sodalime 유리와 같은 저가의 기판위에 스퍼터와 같은 장치로 대면적 CIS 박막태양전지를 만들 수 있다는 것이 산업적인 면에서 장점으로 알려져 있다. 본 연구에서는 sodalime 유리기판 위에 스퍼터 방법으로 CIS 박막을 증착하고 Se cracker cell로 셀렌화하여 CIS 박막을 제조하는 것을 조사연구 하였다. 스퍼터를 이용하여 유리기판위에 Mo (Molybdenum)을 증착하고 그 위에 Cu-In-Se박막을 증착하였다. Cu-In-Se/Mo/유리기판 시료는 동일 챔버에서 Se cracker cell을 이용하여 셀렌화 처리 하였다. 물성비교를 위하여 Knudson-cell을 이용한 셀렌화도 시행하였다. Se cracker cell은 고체 Se를 가열하는 부분(R-zone)과 Se flux를 cracking 하는 부분(C-zone)으로 나누어져 있으며 C-zone은 700$^{\circ}C$ 로 고정하였다. 셀렌화 기판 온도는 425$^{\circ}C$ 로 고정하였고 Se cracker 온도는 330~375$^{\circ}C$ 까지 변화시켜 가며 CIS 박막을 제조하였다. 제조된 CIS 박막의 물성 조사는 사진, 현미경, SEM, EDX, XRD, Hall effects를 이용하였다. Se cracker cell로 셀렌화한 CIS 박막은 island 구조를 하고 있음을 알 수 있었다. CIS 박막의 island의 크기와 모양은 셀렌화시 R-zone 온도(Cu-In-Se 박막에 조사되는 셀레늄의 량)에 큰 영향을 받았다. 셀렌화시 셀레늄량이 적을 때는 island가 커지며 불균일해지고 셀레늄량이 많을 때 island가 작고 균일해지는 경향을 SEM을 통해 관찰할 수 있었다. X-ray 회절을 통해 셀레늄량이 적을 경우 CIS 결정이외의 결정이 박막내에 형성됨을 알 수 있었다. 학술회의에서 Se cracker cell을 이용한 셀렌화에 관한 보다 깊은 연구결과를 발표하고자 한다. -
한국표준과학연구원에서는 국제표준화기구에서 제정한 국제규격(ISO, PNEUROP, DIN, JIS, AVS 등)에 기반을 둔 터보분자펌프의 특성평가시스템을 자체적으로 설계/제작 하였고, 터보분자펌프 1,000 L/s 급의 Database를 구축하였다. 이것을 토대로 특성평가시스템의 신뢰성 확인과 Feedback을 통한 시제품 개발 및 평가지원을 위해 터보분자펌프 2,500L/s 급의 Database를 구축한다. 터보분자펌프의 배기성능을 나타내는 가장 중요한 항목인 배기속도는 분자류 영역에 따라 상이한 가스(
$N_2$ , He)를 사용하여 Throughput method와 Orifice method 두 가지 방법을 병행하여 측정한다. 측정함에 있어서 측정게이지, 유량계 및 Orifice conductance의 불확도에 의하여 배기 속도에 많은 측정오차를 포함하고 있다. 측정 오차를 줄이기 위하여 1% 이상의 안정성과 4%의 오차만을 허용하는 자전 회전자게이지(SRG)와$10^{-3}$ mbar-L/s 영역까지의 유량 주입범위를 가지는 불확도${\pm}$ 3%의 정적형 유량시스템(CVFM)을 사용하였다. Orifice method의 경우 고진공영역으로 진입할수록 커질 수밖에 없는 배기속도 측정 불확도를 최소화하기 위해 검증된 유량을 이용한 Conductance 값을 제시하여 두 방법에서 얻은 배기속도의 불연속적인 문제를 해결한다. 본 연구에서는 2,500 L/s 급 터보분자펌프는 무거운 기체$N_2$ 와 가벼운 기체 He을 사용하여 압축비의 변화와 분자류 영역에 따른 배기속도 변화를 연구하고, 2,500 L/s 급 터보분자펌프의 측정능력을 검증한다. 차후에 배기속도뿐만 아니라 소비전력, 소음, 진동, 온도 등의 특성평가의 전반적인 사항을 평가하여 터보분자펌프 2,500 L/s 급의 database를 완비해간다. 터보분자펌프 특성평가시스템을 사용한 1,000 L/s 급과 2,500 L/s 급 특성 Data를 비교, 분석하여 신뢰성 파악 및 표준화 방안을 개발하고, 고진공펌프 개발 주체와의 feedback 지원 기능의 infra를 구축한다. -
사중극 질량분석기(Quadrupole Mass Spectrometer, QMS)에서 사용하여 이온소스의 신뢰성을 평가하는 방법으로 약 50 ppm으로
$H_2$ 가스를 Ar 가스에 희석한 혼합기체를 주입하여 MDPP(Minimum Detectable Partial Pressure)를 측정하는 기술을 연구하였다. 수소 이온의 전류와 배경 노이즈의 비율(Signal/Noise)을 극대화하기 위하여 QMS를 튜닝하였고, 튜닝을 여러 번 반복한 결과 약 1 order 이내에서 repeatability를 얻을 수 있었다. 이 MDPP 평가방법을 이용하여 상용 이온소스와 한국표준과학연구원에서 기존 이온소스를 개선한 두 가지 다른 타입의 이온소스를 평가 비교하였고, 이 평가는 진공 챔버를$2{\times}10^{-9}$ Torr로 배기한 뒤, 혼합된 희석기체를 주입하여 ~$10^{-7}$ Torr를 유지한 상태에서 QMS 200의 신호를 증폭시키기 위해 SEM (Secondary Electron Multiplier)을 사용하여 진행되었다. 사용한 혼합 희석기체는 한국표준과학연구원의 가스표준실에서 제조하였으며, 혼합비의 불확도는 수 ppm이다. 이 희석된 혼합가스를 사용하여 MDPP 값을 비교 분석하여 이온소스의 신뢰성 평가 연구를 하였다. -
진공펌프의 성능을 나타내는 여러 파라미터가 있지만 가장 중요한 성능지표는 역시 배기속도라고 할 수 있다. 배기속도는 물리적으로 체적유량(volume flow rate, L/s 또는 m3/hr) 즉 단위시간당 펌프 흡기구에 들어오는 기체의 체적을 가리킨다. 펌프 흡기구 단면을 지나가는 체적을 직접 측정하는 것은 거의 불가능하므로 진공 전문가들은 흡기구로 들어가는 기체 유량(flow rate, mbar
${\cdot}$ L/s 또는 Pa${\cdot}$ m3/s)과 흡기구 압력(mbar 또는 Pa)을 측정한 후 유량을 압력으로 나누어 주는 방식으로 배기속도를 측정한다. 유량은 표면 기체 방출을 고려하더라도 실용적인 측면에서 보면 위치에 상관없이 불변하는 값으로 볼 수 있어서 유량을 어떻게 정밀하게 잴 것인가 하는 방법만 있으면 편리한 위치에서 측정하면 된다. 반면에 압력을 정밀하게 측정하는 방식은 확립되어 있지만 막상 어디서 측정하는 것이 옳은가 하는 것은 의외로 쉽지 않다. 펌프의 배기속도를 측정하는 상황을 몇 가지로 가정해 보면, 규격에 입각한 표준용기에 달아 정식으로 재는 것, 게이지가 부착된 마구리판을 달고 간이로 재는 것, 펌프가 사용되고 있는 시스템 현장에서 재는 것이 있을 수 있고 펌프가 달려 있는 상태도 직접 용기에 달거나, 도관 또는 어댑터 및 밸브를 통해 달리는 경우가 있다. 앞에서 펌프 배기속도 계산 시 사용하는 흡기구 압력이란 엄밀히 말하면 흡기구를 바라보는 방향으로 가해지는 압력을 말하는데 이는 진공 게이지를 펌프 흡기구 면에서 상류를 향하도록 놓을 때 얻을 수 있는 값으로 막상 실행하는 것은 어렵다. 표준용기의 구조는 진공 게이지를 특정 위치에 달 때 마치 흡기구 면에 놓인 게이지처럼 흡기구 압력을 정확하게 측정할 수 있도록 고안된 것이지만 때에 따라서는 여러 변형된 측정 방식을 사용할 수밖에 없는 상황이 만들어지므로 어떤 보정을 거치면 올바른 배기속도 값을 구할 수 있는지 살펴볼 필요가 있다. -
최근 진공기술은 세계적으로 유럽, 미국 및 일본을 주축으로 개발되어 보급되고 있다. 국내에서는 초기 기술 단계인 수봉식펌프, 유회전펌프, 유확산펌프가 개발되어 있으나 일반 산업용으로만 사용되고 있으며 반도체용으로는 전혀 적용되지 못하고 있는 실정이다. 특히 고청정공간을 필요로 하는 환경인 고집적 반도체 공정 등에는 사용할 수 없다. 본 연구에서 개발하고자 하는 터보형 드라이펌프는 시스템 내에 전혀 오일을 사용하지 않고 저소음 및 고효율, 저진동, 넓은 사용압력범위 등의 이점 때문에 현재 반도체 공정용 펌프로서 크게 각광을 받고 있다. 그러나 수요가 점차 증가함에도 불구하고 아직까지 우리나라는 전량 수입에 의존하고 있다. 이에 본 연구에서는 반도체 공정에 적합한 배기속도와 압축비를 가지는 에너지 절약형의 반도체 공정용 대유량 터보형 드라이펌프를 개발하여 국산화를 도모하고자 한다. 또한 단순히 외국 제품의 복사품을 제작하는 것이 아닌 체계적인 자료 확보를 통한 설계기술의 정립과 제품개발을 목적으로 하고 있다. 향후 전 세계적으로 최고 수준의 반도체 제조기술을 보유하고 있는 국내에서 국산화 및 상용화에 성공한다면 세계의 드라이 진공펌프 시장에도 충분히 우리의 기술력을 입증할 수 있으며, 결과적으로 상용화까지 이루어지리라 기대한다.
-
헬륨냉동계통은 연구용 원자로인 하나로에서 냉중성자를 생산할 수 있도록 설치된 수조내기기 내의 감속재인 수소가 정상적으로 열 사이펀을 유지하기 위한 주요 계통이다. 헬륨냉동계통은 헬륨가스를 압축하는 헬륨 압축부분과 헬륨가스를 팽창시켜 저온을 생성시키는 헬륨 팽창부분으로 나누어진다. 헬륨 압축부분은 두 개의 스크류가 맞물려 회전하면서 약 1.05 bar(a)의 헬륨가스를 최대 13 bar(a)까지 압축시키는 압축기가 있으며, 헬륨 팽창부분인 냉동박스의 팽창 터빈은 self-acting gas bearing에 의해 구동되며, 저온모드 운전 시작시 헬륨 압축부분에서 일부의 가스는 팽창 터빈 축(shaft)으로 유입되어 회전속도가 서서히 증가하면서 고속으로 회전하여 극저온의 헬륨가스(14~18 K)를 생성하는 주요 기기이다. 헬륨을 팽창하는 부분인 냉동박스 내로 헬륨 압축가스를 유입하기 전에 압축된 헬륨가스 내 불순물의 순도를 분석하여 냉동박스의 주요 부품인 팽창터빈의 운전에 영향을 미치지 않는 것이 가장 중요하다. 따라서 헬륨 저압측에 헬륨가스 내 불순물 즉, 수소(
$H_2$ ), 수분($H_2O$ ), 질소($N_2$ ), 탄화수소류(CxHy) 및 오일(Oilaerosol) 등의 함량을 분석하기위해 가스 분석기가 설치되어 있으며, 냉동박스 내로 유입되기 전에 헬륨압축에서 순환되는 가스 내 불순물인 수분, 질소, 탄화수소류 및 오일은 10 vpm 이하이어야 하며, 수소 함량은 0.1 % 이내이어야 한다. 헬륨 압축부분에서 순환되는 가스의 불순물이 요구 조건에 만족하도록 헬륨 고압측과 헬륨 저압측에 cryogenic adsorber를 설치하여 가스 내 불순물을 제거하는 가스순도제어 작업을 수행해야 한다. cryogenic adsorber를 사용하기 위해서는 장치 내의 불순 가스를 공정진공도(1.33 X$10^{-3}$ mbar) 이하로 진공배기하는 작업이 매우 중요하다. 이는 계통의 헬륨가스가 오염되지 않도록 하는 것으로 cryogenic adsorber 내에는 액체질소를 충전하여 액체질소 온도에 노출된 활성탄층을 헬륨가스가 흐르면서 수분, 질소, 탄화수소류 및 오일 등이 제거된다. 이 논문에서는 헬륨냉동계통의 가스 순도 제어 작업을 통해 헬륨가스의 순도가 요구조건 이하로 만족하며, 팽창 터빈의 운전에 영향을 미치지 않음을 기술하고자 한다. -
표면경도와 내마모특성을 가진 질화처리는 다양한 철강재료에 적용되고 있다. 플라즈마를 이용한 질화법은 다른 질화처리법에 비해 처리시간이 짧고 폐수 및 배기가스와 같은 오염물질의 발생이 거의 없어 친환경적이며 낮은 온도에서 처리가 가능하기 때문에 변형 및 금속학적 물성의 변화가 없는 것이 특징이다. 한편 DLC 처리법은 물리화학적 특성이 다이아몬드와 유사하면서도 저온 합성이 가능하고, 표면이 평활하다는 합성기술상의 장점을 가지고 있기 때문에 많은 분야에서의 응용이 연구되고 있는 재료이다. 특히 고경도, 고윤활성 등의 물리적, 화학적, 광학적 특성과 화학적 안정성과 신체적합성 등의 특성으로 인해 기계부품, 공구, 광학기기, 전자부품, 자동차부품과 의료용 기기분야 등에 적용하고 있다. 본 연구에서는 질화처리 및 DLC 처리를 한 챔버내에서 동시처리하여 그 특성을 평가하였다. 이와같이 얻어진 처리물을 Field Emission Scanning Electron Microscope를 이용하여 단면분석을 하였고, 시편의 경도는 나노인덴터로 측정하였다. ball-on-disk 방식의 마모시험기를 이용하여 내마모특성을 관찰하였으며, 접합력을 측정하기 위해 스크래치 테스트를 실시하였다.
-
최근 석유 자원의 고갈로 인하여 요구되는 대체 에너지 개발의 필요성이 대두되고 있다. 그중 태양에너지는 지구의 생명체가 살아가는 에너지의 근원으로서 매초 800~1,000 W에 달하는 에너지양으로 볼 때 태양은 인류가 가장 풍부하게 활용할 수 있는 에너지원이다. 태양에너지를 이용한 염료감응형 태양전지(Dye-Sensitized Solar Cells, DSSCs)는 제조원가를 낮출 수 있고, 유리 전극을 이용한 투명한 태양전지를 제조할 수 있어 건물의 유리창등으로 응용할 수 있는 장점이있다. 이러한 광변환 효율을 증가시키기 위한 방법으로 전기방사 TiO2 Nanofiber를 기계적으로 갈아서 제조한 TiO2 Nanorod 와 TiO2 Nanoparticle를 섞어서 만든 paste를 이용하여 넓은 표면적과 빠른 전자수송도를 갖게 하였고, 흡착된 염료에서 발생되는 광전자가 전해질의 산화, 환원되는 요오드 이온(I-/I3-)과의 재결합(recombination)현상을 TiO2 전극 위에 높은 밴드갭(band-gap)을 가지는 Al2O3 박막을 TriMethylAluminium (TMA) 전구체를 이용한 원자층 증착(Atomic Layer Deposition, ALD) 공정을 사용하여 진공증착 통해 광전변환효율이 떨어지는 현상을 방지하여 효율을 높였다.
-
실리콘 질화막(
$Si_3N_4$ )과 산화막($SiO_2$ )은 반도체 소자를 구성하는 물질 중 가장 널리 사용되는 유전 또는 절연물질이다. 이러한 실리콘 산화막과 질화막은 적용할 소자에 따라 다양한 CVD나 ALD 공정을 기반으로 제조한다. 증착공정 개발에 있어 실리콘 증착소재가 성공여부를 결정하는 근간이 되며, 이는 실리콘 증착소재의 특성에 따라 증착된 산화막과 질화막의 물성이 크게 변하기 때문이다. 실리콘 증착소재 개발을 위해서 국내외 증착소재 합성업체가 노력을 기울이고 있지만 개발된 증착소재의 특성을 정확히 진단하기 위한 기술이 뒷받침되지 않아 개발 효율이 높지 않은 것이 현실이다. 한국표준과학연구원 내 진공기술센터에서는 이러한 실리콘 증착소재의 특성, 특히 반응성을 평가하기 위한 기술 및 시스템을 개발하고 이를 활용하고 있다. 본 연구에서는 적외선 분광법을 이용하여 개발된 증착소재의 기상 열적안전성 및 반응기체에 따른 반응성을 실시간으로 진단하였다. 반응기체로는 산화막을 증착하기 위해 가장 많이 사용되는$H_2O$ 와 질화막을 증착하기 위해 가장 많이 사용되는$NH_3$ 를 사용하였다. 각 반응기체의 유량별, 가스셀 온도, 압력 등의 반응조건의 변화에 따른 실리콘 증착소재의 반응성 및 안정성을 평가하고 기존에 양산용으로 소자제조에 사용되고 있는 증착소재와 비교평가를 수행하였다. -
The purpose of this study is to enhance an adhesion between substrate and Diamond-like Carbon (DLC) film. DLC has many outstanding properties such as low friction, high wear resistance and corrosion resistance. However, it is difficult to achieve enough adhesion because of weak bonding between DLC film and the substrate. For improvement adhesion, a layer between DLC film and the substrate was prepared by dual post plasma. DLC film was deposited on nitrided layer by linear ion source. The composed compound layer between substrate and DLC film was investigated by Glow Discharge Spectrometer (GDS) and Scanning Electron Microscope (SEM). The synthesized bonding structure of DLC film was analyzed using a micro raman spectrometer. Mechanical properties were measured by nano-indentation. In order to clarify the mechanism for improvement in adhesive strength, it was observed by scratch test.
-
재료의 양단간에 온도차를 주어 전압 또는 전류가 발생하는 지벡효과와 반대로 전위차를 주어 온도차를 유도하는 펠티에 효과를 열전효과로 일컫는다. 이 열전효과에 관한 연구는 그 특수성 때문에 1950년대 이후로부터 많은 관심을 받아왔다. 최근 들어 석유자원의 고갈 및 신재생에너지에 대한 관심의 고조와 맞물리면서 열전재료 및 소자에 연구는 더욱 활발히 이루어지고 있다. 전도성이 있는 모든 물질은 열전효과를 가지는 데, 그 중 Bi-Te 합금계의 열전 물질은 상온에서 가장 우수한 열전성능지수를 가지는 것으로 보고되어, 이를 이용한 열전 재료에 대한 많은 연구가 이루어져 왔다. 현재 상용화된 열전소자는 Bi-Te bulk를 이용하여 제조되고 있으나 열전성능지수의 한계를 극복하기 위해 나노구조화, 박막화시키는 연구가 활발히 진행되고 있다. 특히 박막화를 통해 열전소자의 상용화 및 양산화에 일조할 수 있을 것으로 예상된다. 하지만 열전소자의 양산화를 위해서는 대량생산에 용이한 증착공정이 개발되어야 한다. 증착공정 중 가장 양산화에 유리한 공정이 MOCVD (metal organic chemical vapor deposition)라고 생각되지만 이를 위해선 전구체의 특성 평가 및 공정개발이 필요하다. 따라서 본 연구팀은 MOCVD 공정을 이용하여 저온, 저압에서 Bi-Te 합금계의 박막 성장에 관한 연구를 수행하였다. 또한 적외선 분광 시스템을 활용하여 여러 전구체 중 최적의 Bi, Te 전구체 조합을 선별해내었다. 이 과정 속에서 Te 전구체의 독특한 분해특성 및 증착특성을 확인하였고, 이러한 특성을 조절하기 위해 Bi 전구체가 중요한 역할을 한다는 것을 확인하였다.
-
The adsorption structure and the electronic property of azidotrimethyltin (ATMT) on monolayer graphene was investigated using scanning tunneling microscopy and core-level photoemission spectroscopy. We also confirmed the n-type doping effect by scanning tunneling spectroscopy and work function measurements. We will systematically demonstrate the variation of characteristic of graphene induced by the chemical functionalized molecule as we confirmed the results using scanning tunneling microscopy in conjunction with core-level photoemission spectroscopy.
-
We investigated the adsorption structures of serine on a Ge(100) surface by core-level photoemission spectroscopy (CLPES) in conjunction with density functional theory (DFT) calculations. The adsorption energies calculated using DFT methods suggested that four of six adsorption structures were plausible. These structures were the "O-H dissociated-N dative bonded structure", the "O-H dissociation bonded structure", the "Om-H dissociated-N dative bonded structure", and the "Om-H dissociation bonded structure" (where Om indicates the hydroxymethyl oxygen). These structures are equally likely, according to the adsorption energies alone. The core-level C 1s, N 1s, and O 1s CLPES spectra confirmed that the carboxyl oxygen competed more strongly with the hydroxymethyl oxygen during the adsorption reaction, thereby favoring formation of the "O-H dissociated-N dative bonded" and "O-H dissociation bonded" structures at 0.30 ML and 0.60 ML, respectively. The experimental results were corroborated theoretically by calculating the reaction pathways leading to the two adsorption geometries. The reaction pathways indicated that the "O-H dissociated-N dative bonded structure" is the major product of serine adsorption on Ge(100) due to comparably stable adsorption energy.
-
Multiferroic materials have been widely studied in recent years, because of their abundant physics and potential applications in the sensors, data storage, and spintronics.
$BiFeO_3$ is one of the well-known single-phase multiferroic materials with$ABO_3$ structure and G-type antiferromagnetic behavior below the Neel temperature$T_N$ ~ 643 K, but the ferroelectric behavior below the Curie temperature$T_c$ ~1,103 K. In this study, the$BiFe_{1-x}Ni_xO_3$ (x=0 and 0.05) bulk ceramics were prepared by solid-state reaction and rapid sintering with high-purity$Bi_2O_32$ ,$Fe_3O_4$ and NiO powders. The powders of stoichiometric proportions were mixed, as in the previous investigations, and calcined at 450$^{\circ}C$ for$BiFe_{1-x}Ni_xO_3$ for 24 h. The obtained powders were grinded, and pressed into 5-mm-thick disks of 1/2-inch diameter. The disks were directly put into the oven, which has been heated up to 800$^{\circ}C$ and sintered in air for 20 min. The sintered disks were taken out from the oven and cooled to room temperature within several min. The phase of samples was checked at room temperature by powder x-ray diffraction using a Rigaku Miniflex diffractometer with Cu K${\alpha}$ radiation. The Raman measurements were carried out by employing a hand-made Raman spectrometer with 514.5-nm-excitation$Ar^+$ laser source under air ambient condition on a focused area of 1-${\mu}m$ diameter. The field-dependent magnetization measurements were performed with a superconducting quantum-interference-device magnetometer. -
Using first-principles density-functional calculations, we investigate the chain reaction mechanism of allyl alcohol (ALA) molecules on the H-terminated Si(001)-2
${\times}$ 1 surface. Recently, it was reported [1] that allyl mercaptan (ALM) molecules show a self-directed line growth across the dimer rows through a chain reaction involving several reaction processes: (i) The created radical at the C atom is transferred to the S atom, (ii) the resulting S-centered radical easily abstracts an H atom from the neighboring dimer row, and (iii) the generated S-H group further reacts with the neighboring dimer row to produce the Si-S bond on the neighboring dimer row, accompanying the associative desorption of H2. This H2-desorption process creates a new DB on the neighboring dimer row, setting off the chain reaction across the dimer rows. In the present study, we find that although the structure of ALA with -OH functional is analogous to that of ALM with -SH functional, ALA and ALM lines show a difference in their growth direction. We predict that ALA undergoes the chain reaction to show a line growth along the dimer row, contrasting with the ALM line growth across the Si dimer rows. Our analysis shows that the different growth direction of ALA is due to the strong instability of oxygen radical intermediate, which prevents from growing across the dimer rows. Thus, we demonstrate that the stability of the radical intermediate plays a crucial role in determining the direction of molecular line growth. -
서로 결정구조가 다른 물질 사이의 계면을 연구하기 위해 실온에서 W(bcc) 표면에 Al(fcc)를 성장시켜, 그 흡착구조를 연구하였다. 실온에서 W(110)면에 Al원자를 0.5 ML, 1.0 ML, 2.0 ML, 3.0 ML과 4.0 ML으로 증착시켜 Al/W(110)계의 흡착구조를 저에너지 전자회절(LEED)을 이용하여 관찰하였고, 각 coverage의 Al/W(110)계에서 이온산란분광법(CAICISS-TOF)을 이용하여 흡착구조를 연구하였다. 연구결과, Al의 coverage가 증가함에 따라 표면의 Al이 crystal되어, 4.0 ML Al/W(110)계에서 Al은 6-fold symmetry를 이루는 fcc 구조의 (111)면으로 성장하였으며 성장된 Al(111)면의 [T10]방향과 [1T0]방향이 substrate인 W(110)면의 [001]방향과 서로 평행한 double domain의 표면구조이다.
-
[100] 방향으로 4
$^{\circ}$ 기울어진 Si(001)-2${\times}$ 1(vicinal surface)을 초고진공하(UHV)에서 청결하게 하고 열처리하면 rebonded-atom을 가진 DB double step과 이 step에 나란한 아홉 개의 dimer를 가진 평균 폭이 4.0 nm인 single-domain의 (001)-2${\times}$ 1 테라스의 면으로 재구조된다 [그림 a]. 본 연구에서는 이 표면 위에 Sb을 상온에서 증착하여 덮고 후열처리하면(2 ML, 500$^{\circ}C$ 10 분), Sb-dimer가 Si 표면을 한 층 덮고 (001) 테라스의 Sb-dimer 방향이 DA double-step과 수직을 이루는 1${\times}$ 2 구조를 이룬다는 사실을 STM으로 확인하였다 [그림 b]. 이러한 Sb-passivation의 효과는 표면 Si-dimer의 부분적으로 채워진 dangling-bond를 Sb-dimer의 완전히 채워진 고립쌍(lone-pair)으로 바꿈으로써 표면 자유 에너지를 줄이고, 나아가 계면 Si 층은 bulk에 유사하게 되는 데에 있다. 이 passivation 된 표면은 Ge/Si 등의 heteroepitaxy에 사용할 수 있고, 특히 single-domain을 유지하며 step 방향에 대해 평행인 dimer-row로 이루어져 있어서 원자나 전자의 이동에 비등방적 효과를 증가시킬 것이 예측된다. -
Nowadays, the issue of solar cell durability in local weather and environment is a crucial issue. Above all, surface corrosion on solar cell multilayers is a major factor that determines the durability of commercial solar cells; corrosive chemical interactions between air, humidity and chemical species and solar cell multilayers can unfavorably affect the durability. Here, we study microscopic and spectroscopic surface techniques to investigate the corrosive interaction on the antireflective layers of solar cell multilayers under various conditions such as acid, base, constant temperature and humidity. Surface morphology and adhesion force were characterized with atomic force microscopy before and after chemical treatment. Chemical composition, and transmittance factors were studied with X-ray photoelectron spectroscopy, and ultraviolet-visible spectroscopy, respectively. Based on these studies, we suggest the dominant factors in the corrosive chemical processes, and their influences on the structural, compositional, and optical properties of the antireflective layers.
-
MgO는 암염구조의 이온결합성 화합물로 7.8 eV의 높은 띠 틈과 약 95%의 탁월한 투과도를 갖는다. 또한,
${\gamma}$ process에 의한 이차 전자 방출이 높고 이온 스퍼터링에 의한 표면 손상이 적어 면 방전 AC-PDP의 보호막으로 이용된다. 따라서 MgO 보호막에 관한 연구는 이차 전자 방출 계수를 높여 방전 전압을 감소시키고 높은 유전율과 투과도를 유지시키기 위한 목적으로 전개되어지고 있다. 본 연구는 이온 스퍼터링에 의한 MgO 보호막의 표면 특성의 변화를 알아보기 위해 이루어졌다. MgO 박막은 electron beam evaporation의 방법을 통해 챔버 내에 O 기체를 주입하고 P type Si 기판을 300$^{\circ}C$ 가열하여 40 nm 두께로 제작되었다. 박막 시료는 표면분석 전 초고진공챔버 내에서 표면에 산화된 불순물 제거를 위해 550$^{\circ}C$ 의 열처리가 되어졌다. 그리고 250 eV의 He 이온으로 박막 표면을 스퍼터링 하여 XPS, REELS, UPS를 이용하여 전자 및 광학적 특성을 연구하였다. XPS 분석을 통해 MgO 박막은 He 이온 스퍼터링에 의해 표면의 화학적 조성이 변하지 않는다는 것을 확인했다. MgO 박막에 이온 스퍼터링을 하면 표준 시료와 비교하여 Ep=1,500 eV일 때 7.54 eV에서 7.63 eV로 높아지는 경향이 있다. 일함수는 He 이온 스퍼터링 한 결과 3.85 eV로부터 4.09 eV로 약간 높아졌다. 또한, QUEELS simulation으로 얻은 가시광 투과도는 91~92%로 분석되었다. -
인공관절은 노인성 질환이나 자가 면역질환, 신체적인 외상 등으로 인하여 발생하는 관절의 손상 부위를 대체하기 위해 고안된 관절의 인공 대용물이다. 인공 관절 중 인공 고관절의 경우 관절 운동을 하는 라이너(Liner)와 헤드(Head) 부분이 인공관절의 수명을 결정하게 되는데, 헤드 부분에 메탈소재와 라이너 부분에 고분자 소재를 사용하는 MOP (metal on polymer) 구조의 인공관절은 충격흡수의 장점이 있는 반면 wear debris에 의한 골용해로 인하여 관절이 느슨해지는 문제점이 발생하여 재 시술의 주요 원인이 되고 있다. 또한 메탈 헤드의 마모로 인한 금속이온의 용출은 세포 독성의 문제를 야기하여 인공관절의 수명을 낮추는 또 하나의 요인이 되고 있다. 따라서 인공관절의 수명을 늘리기 위해 DLC, ZrO, TiN 등의 높은 경도 값을 갖는 박막을 금속 헤드 위에 증착하여 상대재인 인공관절용 고분자 소재의 마모량을 줄이고자 하는 연구가 활발하게 진행 되고 있다. 본 연구에서는 PIII&D (Plasma Immersion Ion Implantation & Deposition)공정을 이용하여 Co-Cr-Mo 합금 소재 niobium nitride (NbN) 박막을 증착하여 상대제인 UHMWPE (ultra high molecular polyethylene)의 마모를 줄이고자 하는 연구를 진행하였다. 마모량을 감소시키기 위하여, 박막 증착전에 질소를 이온주입하는 pre-ion implantation 공정을 도입하였으며, 또한 Co-Cr 합금과 NbN박막 사이의 접착력을 증가시키기 위하여 박막의 증착 초기에 이온주입과 증착을 동시에 수행하는 dynamic ion mixing공정을 수행하였다. NbN 박막의 특성을 평가하기 위해 XRD, XPS, AFM 등의 분석을 수행하였으며, 상대재인 초고분자량 폴리에틸렌의 마모량을 측정하기 위해 Pin-on-disk tester를 이용하여 마모 실험을 진행하였다. 마모 실험 결과, pre-ion implantation 공정을 도입한 경우 현재 상용화 되어있는 Co-Cr 합금에 비하여 마모량을 2배 이상 감소시키는 것을 확인 할 수 있었으며, dynamic ion mixing 공정을 도입한 경우 장시간의 마모 시험에 대한 마모 특성이 향상 되는 것을 확인 할 수 있었다.
-
일반적으로 TiO2와 WO3는 광촉매 작용으로 인하여 살균력을 보이며, 친수성으로 인해 자가 세정 능력을 가져 유리 및 건축자재의 표면처리, 전자제품의 마감처리 용도로 많이 사용하고 있다. 현재 Sol-gel, CVD, Sputter, Spin-coating 방법 등으로 많은 연구가 진행되어 오고 있다. 이에 본 실험에서는 박막의 두께를 균일하게 만들 수 있는 RF-magnetron sputtering 방법을 이용하여 표면 경도와 부착력이 우수한 TiO2에 열적 특성과 화학적 특성이 안정한 WO3를 Double Layer 방식으로 증착하여 박막을 제작하였다. 광학적 특성을 알아 본 결과 가시광 영역에서 TiO2 / WO3 Double Layer 박막이 80% 이상의 높은 투과율을 나타내었으며, 박막의 표면을 확인 한 결과 TiO2 / WO3 Double Layer 박막이 더 조밀한 표면을 보였다. 또한 접촉각을 측정을 통하여 TiO2박막보다 TiO2 / WO3 double layer박막이 낮은 접촉각을 나타내었다. 이는 TiO2 표면은 소수성이나 WO3로 인해 광촉매 기능이 향상되어 공기 중의 물 분자가 해리 흡착되면서 친수성이 향상되는 것으로 사료된다. 이러한 박막은 건물의 외벽이나 자동차의 내 외장재 전자기기용 광학 필름에 자가세정, 내반사 코팅소재로 활용 가치가 높을 것으로 예상된다.
-
최근 투명전극 연구는 태양전지 및 디스플레이, LED 등 많은 분야에서 응용되며 또한 기술 개발이 활발하다. 그 중 전기 전도도가 우수하면서 밴드갭이 2.5 eV 이상으로 가시광 영역에서 투명하기 때문에 디스플레이의 투명전극으로 ITO (Indium Tin Oxide)가 많이 사용되고 있다. 본 실험에서는 RF magnetron sputtering법을 이용한 ITO의 증착시 산소 유량을 달리하여 제작한 박막의 Energy Band Structure를
${\gamma}$ -FIB system을 이용하여 측정하였다. ITO에 이온화 에너지가 24.5 eV인 He Ion source를 주사하였을 때 Auger self-convolution을 통해 이차전자의 운동 에너지 분포를 구하고, 이를 통해 ITO 내의 Energy Band Structure를 실험적으로 측정하였다. -
Hyperthermal ion scattering experiments were conducted with low kinetic energy (<35 eV) cesium ion beams to analyze the UV-photolyzed water-ice films. Neutral molecules (X) on the surface were detected as cesium-molecule ion clusters (
$CsX^+$ ) which were formed through a Reactive Ion Scattering (RIS) process. Ionic species on the surface were desorbed from the surface via a low energy sputtering (LES) process, and were analyzed [1]. Using these methods, the thermal stability of hydronium ion ($H_3O^+$ ) that was produced by UV light was examined. As the thermal stability of$H_3O^+$ is related with the reaction,$H_3O^+$ + OH +$e^-$ (or$OH^-$ )${\rightarrow}$ $2H_2O$ , which is similar or same with the reverse reaction of the auto-ionization of water, the result from this work would be helpful to understand the auto-ionization of$H_2O$ in water-ice that has not been well-understood yet. However, as$H_3O^+$ was not detected through a LES method, the titration experiment of$H_3O^+$ with methylamine ($CH_3NH_2$ , MA), MA +$H_3O^+\;{\rightarrow}\;MAH^+$ +$H_2O$ , was conducted. In this case, the presence of$MAH^+$ indicates that of$H_3O^+$ in the ice. Thus the pristine ice was photolyzed with UV light for a few minutes and this photolyzed ice was remained at the certain temperature for minutes without UV light. Then MA was adsorbed on that surface so that the population of$H_3O^+$ was found. From the calibration experiments, the relation of$MAH^+$ and$H_3O^+$ was found, so that the thermal stability of$H_3O^+$ can be investigated [2]. -
Strong adhesion of a silicon carbide (SiC) coating to a WC-Co substrate was achieved through an ion beam mixing technique and the corrosion resistance of the SiC coated WC-Co was investigated by means of a potentiodynamic electrochemical test. In a 1 M NaOH solution, the corrosion current density of SiC-coated WC-Co after heat treatment at 500
$^{\circ}C$ was about 50 times lower than that for the as-received WC-Co. In addition, the corrosion resistance systematically increases with increasing the SiC coating thickness. On the other hand, for a 0.5 M H2SO4 solution, the corrosion current density for SiC-coated WC-Co was about 3 times lower than that for the as-received WC-Co. We discuss the physical reasons for the changes in the corrosion current density with the different electrolytes. -
지구상에서 화석 연료에 기초한 에너지가 고갈되어 가고 있는 지금, 여러 가지 대체 에너지들이 주목 받고 있는데 그 중에서도 수소는 친환경적 에너지원으로서 최근 많은 연구가 진행중이다. 여러 가지 저 분자 중에서 보레인은 수소 질량비가 큰 물질 중의 하나로서 이를 이용하면 적은 무게에 비하여 다른 유사한 질량의 분자들 보다 많은 수소를 발생할 수 있다. 그래서 우리는 이에 착안하여 촉매를 이용한 수소 발생 실험을 구상하게 되었다. Ru과 Co를 수산화인회석에 도입하여 우리는 이종상 촉매인 Ru-HAP와 Co-HAP를 만들었다. 이를 TEM을 이용하여 시료상의 이온교환 전후의 상을 관찰 하였고 SEM을 이용하여 촉매 표면 상의 붕소유리를 관찰 하였다. 높은 온도와 압력일수록 HAP에 더 많이 표면에 Ru과 Co가 흡착됨을 ICP를 통해서 알 수 있었다.
-
공기 중 산소를 이용한 다양한 산화반응에 적합한 이종상 촉매 개발이 공업적으로나, 학문적으로 중요한 의미를 갖는다. 우리는 수산화 인회석(hydroxyapatite, HAP)에 Cu이 도입된 새로운 이종상 촉매를 합성하였으며, 이를 이용하여 Mesitylene의 산화반응을 통해 반응성을 관찰하였다. 전이금속 Cu를 이온교환 반응 하는 과정에서 온도와 압력조건의 변화가 촉매의 활성에 미치는 영향에 대해 연구하였다. 반응 후의 생성물질은 GC/MS를 통해 알아내고 Mesitylene 이외에 벤젠고리에 치환된 알킬기의 수가 다른 물질의 산화반응을 수행하였다.
-
Molecular
$N_2O$ has bee known to react over oxygen vacancy on a reduced rutile$TiO_2$ (110)-1${\times}$ 1 surface to desorb as molecular$N_2$ leaving oxygen atom behind. In the present study, we investigated the reaction of$N_2O$ on rutile$TiO_2$ (110) using temperature-programmed desorption (TPD). Our results indicate that$N_2O$ does not react over the oxygen vacancy under a typical UHV experimental condition. On a rutile$TiO_2$ (110)-1${\times}$ 1 with a well-defined oxygen vacancy concentration of 5% ($2.6{\times}10^{13}/cm^2$ ),$N_2O$ desorption features show a monolayer peak maximum at 135 K followed by a small peak maximum at 170 K. When the oxygen vacancy is blocked with$H_2O$ , the$N_2O$ peak at 170 K disappears completely, indicating that the peak is due to molecular$N_2O$ interacting with oxygen vacancy. The integrated amount of desorbed$N_2O$ plotted against the amount of adsorbed$N_2O$ however shows a straight line with no offset indicating no loss of$N_2O$ during our cycles of TPD measurements. In addition, our$N_2O$ uptake measurements at 70~100 K showed no$N_2$ (as a reaction product) desorption except contaminant$N_2$ . Also,$H_2O$ TPD taken after$N_2O$ scattering up to 350 K indicates no change in the vacancy-related$H_2O$ desorption peak at 500 K showing no change in the oxygen vacancy concentration after the interaction with$N_2O$ . -
In this study, in contrast with cases in which Scanning Tunneling Microscopy (STM) tip-induced reactions were instigated by the tunneling electrons, the local electric field, or the mechanical force between a tip and a surface, we found that the tungsten oxide (WO3) covered tungsten (W) tip of a STM acted as a chemical catalyst for the S-H dissociative adsorption of phenylthiol and 1-octanethiol onto a Ge(100) surface. By varying the distance between the tip and the surface, the degree of the tip-catalyzed adsorption could be controlled. We have found that the thiol head-group is the critical functional group for this catalysis and the catalytic material is the WO3 layer of the tip. After removing the WO3 layer by field emission treatment, the catalytic activity of the tip has been lost. 3-mercapto isobutyric acid is a chiral bi-functional molecule which has two functional groups, carboxylic acid group and thiol group, at each end. 3-Mercapto Isobutyric Acid adsorbs at Ge(100) surface only through carboxylic acid group at room temperature and this adsorption was enhanced by the tunneling electrons between a STM tip and the surface. Using this enhancement, it is possible to make thiol group-terminated surface where we desire. On the other hand, surprisingly, the WO3 covered W tip of STM was found to act as a chemical catalyst to catalyze the adsorption of 3-mercapto isobutyric acid through thiol group at Ge(100) surface. Using this catalysis, it is possible to make carboxylic acid group-terminated surface where we want. This functional group-selective adsorption of bi-functional molecule using the catalysis may be used in positive lithographic methods to produce semiconductor substrate which is terminated by desired functional groups.
-
Organocatalysis is a relatively new and popular area within the field of chiral molecule synthesis. It is one of the main branches of enantioselective synthesis with enzymatic and organometallic catalysis. In recent years, immense high quality studies on catalysis by chiral secondary amines were reported. These progresses instantly led to different organocatalytic activation concepts, so thousands of researchers from academia and the chemical industry are currently involved in this field and new ideas, new approaches, and creative thinking have been rapidly emerged. Organocatalysts, some of which are natural products, appear to solve the problems of metal catalysts. Compared to metal-based catalysis, they have many advantages including savings in cost, time, and energy, easier experimental procedure, and reduction of chemical waste. These benefits originate from the following factors. First, organocatalysts are generally stable in oxygen and water in the atmosphere, there is no need for special equipments or experimental techniques to operate under anhydrous or anaerobic conditions. Second, organic reagents are naturally available from biological materials as single enantiomers that they are easy and cheap to prepare which makes them suitable for small-scale to industrial-scale reactions. Third, in terms of safety related catalysis, small organic molecules are non-toxic and environmentally friendly. Therefore, the purpose of this research is to develop novel synthetic methods and design for various organocatalyst. Furthermore, it is expected that these organocatalysts can be applied to a variety of asymmetric reactions and study the transition state of these reactions using a metal sulface. Here, we report the synthesis of unprecedented organocatalysts, proline and pyrrolidine derivatives with quaternary carbon center.
-
The addition of a carbanion to
${\yen}{\acute{a}}{\yen}{\hat{a}}$ -unsaturated carbonyl compounds is of importance in the C-C bond formation reactions for modern pharmaceuticals and organic synthesis. Recently, heterogeneous asymmetric catalysis became more attractive area of research because of the easy recovery and separation of the catalyst from the reaction system. Most of synthetic methods for heterogeneous catalysts were grafting or immobilization of homogeneous catalyst onto the solid supports. Trans-1,2-Diaminocyclohexane(DACH) and L-proline ligands have been enormously used as chiral ligands in several catalytic transformation under homogenous conditions. Our group prepared l-proline functionalized mesoporous silica was synthesized under acidic condition using a poly(ethylene oxide)-poly(propylene oxide)-poly(ethylene oxide) triblock copolymer template (EO20PO70EO20, Pluronic P-123, BASF). Furthermore, we successfully directly synthesized trans-1,2 diaminocyclohexane functionalized mesoporous silica by using microwave method. The direct functionalization of chiral ligand into the framework of mesoporous materials is expected to be useful for the heterogeneous asymmetric catalysis. So, we adopt the direct synthesis of chiral ligand functionalized mesoporous silica by using thermal and microwave irradiation. Then, chiral ligand functionalized mesoporous silicas were applied to enantioselective asymmetric catalytic reactions. -
Hierarchical mesoporous ZSM-5 with enhanced mesoporosity was synthesized by microwave through the rapid assembly via ionic interaction between sulfonic acid functionalized ZSM-5 nano particles and cationic surfactant. The catalytic performance of enhanced accessibility due to mesoporosity and acidity were investigated in the alkylation of mesitylene with benzyl alcohol as alkylating agent. The effect of mole ratio of aromatic with benzyl alcohol, reaction time and alkylation agent were also studied. The enhanced mesoporosity and acidity of sulfonic acid functionalized mesoporous ZSM-5 induced activity enhancement compared with non-functionalized mesoporous ZSM-5, sulfonic functionalized mesoporous ZSM-5 synthesized by hydrothermal method and conventional microporous ZSM-5. The sulfonic acid functionalized mesoporous ZSM-5 showed much higher chemoselectivity of benzylated mesitylene than others, whereas the others mainly show dibenzyl ether as product. This significant difference in catalytic selectivity was resulted from the existence of mesopores, which definitely allowed the benzylation in mesopores.
-
Recently, mussel-inspired surface modification, called polydopamine coating has been extensively implemented to many areas, due to its material versatility and ease to use. In particular, incubation of substrates in an alkaline dopamine solution resulted in self-polymerization of dopamine and modified variety of material surfaces, including noble metals, metal oxides, ceramics, and synthetic polymers. However, the polydopamine coating has a drawback to practical use; it takes more than 12 hrs to introduce sufficient polydopamine layers to solid substrates. Here, we investigated the rate-enhanced polydopamine coating by varying reaction conditions: pH, concentration, and the addition of the oxidizing agent. As a result, the optimum condition for fast polydopamine coating was found, and solid substrates were efficiently coated with polydopamine layers in just few minutes using the condition. The polydopamine-modified surface was characterized by XPS and contact angle goniometry, and the biocompatibility of the modified surface was also proved by cell attachment test.
-
The research of 3-dimensional (3-D) scaffold for tissue engineering has been widely investigated as the importance of the 3-D scaffold increased. 3-D scaffold is needed to support for cells to proliferate and maintain their biological functions. Furthermore, its architecture defines the shape of the new bone and cartilage growth. Polycaprolactone (PCL) has been one of the most promising materials for fabricating 3-D scaffold owing to its excellent mechanical property and biocompatibility. However, there are practical problems for using it, in vitro and in vivo; extracellular matrix components and nutrients cannot penetrate into the inner space of scaffold, due to its hydrophobic property, and thus cell seeding and attachment onto the inner surface remain as a challenge. Thus, the surface modification strategy of 3-D PCL scaffold is prerequisite for successful tissue engineering. Herein, we utilized a mussel-inspired approach for surface modification of 3-D PCL scaffold. Modification of 3-D PCL scaffolds was carried out by simple immersion of scaffolds into the dopamine solution and stimulated body fluid, and as a result, hydroxyapatite-immobilized 3-D PCL scaffolds were obtained. After surface modification, the wettability of 3-D PCL scaffold was considerably changed, and infiltration of the pre-osteoblastic cells into the 3-D scaffold followed by the attachment onto the surface was successfully achieved.
-
The importance of stepped single-crystal surfaces as model catalysts has been well recognized [1]. We re-investigated the adsorption properties of
$H_2$ and CO, most important species in platinum-based catalysts, on nearly defect-free and highly stepped surfaces of one and the same Pt(111) crystal. While both being symmetric and single-peaked from the nearly defect-free surface, temperature-programmed desorption (TPD) spectra from the highly stepped surface saturated at 90 K with H and CO were triply- and doubly-peaked, respectively. Once pre-adsorbed, CO preempted step and then terrace sites, inhibiting the dissociative$H_2$ adsorption completely. Pre-adsorbed H inhibited the CO adsorption on terrace sites only, leaving defect sites intact for CO adsorption even at the saturation H precoverage. On defect-free Pt(111), while pre-adsorbed CO inhibited the dissociative$H_2$ adsorption completely, pre-adsorbed H could not inhibit the CO adsorption completely. These intriguing, but interesting results are discussed in terms of energetics/kinetics and the role of surface step sites in the dissociative adsorption of$H_2$ on Pt(111) [2]. -
During past decades, several types of peptide-based scaffolds, ranging from simple aromatic dipeptide to small protein fragments, have been studied to understand the underlying mechanism and mimic to create artificial nano/microstructures. However, a limited number of design principles have still been reported in peptidic scaffolds allowing well-defined self-assembled structure formation, presumably due to the intrinsic large conformational flexibility of natural peptides. In this presentation, we report the first example of highly homogeneous, well-defined and finite architectures by the
${\beta}$ -peptide self-assembly. -
The self-assembly of
${\gamma}$ -phenylalanine on Au(111) at 150 K was investigated using scanning tunneling microscopy (STM). Phenylalanine can potentially form two-dimensional (2D) molecular networks through hydrogen bonding (through the carboxyl and amino groups) and${\pi}-{\pi}$ stacking interactions (via aromatic rings). We found that${\gamma}$ -phenylalanine molecules self-assembled on Au(111) surfaces into well-ordered structures such as ring-shaped clusters (at low and intermediate coverages) and 2D molecular domains (intermediate and monolayer coverages), whereas${\alpha}$ -phenylalanine molecules formed less-ordered structure on Au(111). The self-assembly of${\gamma}$ - but not${\alpha}$ -phenylalanine may be related to the flexibility of the carboxyl and amino groups in the molecule. Moreover, as expected, the 2D molecular network of${\gamma}$ -phenylalanine on Au(111) was mediated by a combination of hydrogen bonding and${\pi}-{\pi}$ stacking interactions. -
Nanoparticles have been received great attention from many researchers for several decades because of their good and unique properties. In particular, researches in the field of synthesis of bimetallic nanoparticles showed good results for the past ten years. In this research, Pd thinlayer on Au nanoparticles were synthesized by electrochemical deposition method. Well-defined Au(111) nanoparticles were synthesized by solution based reduction method. Electrochemical deposition conditions for Pd thinlayer on Au(111) nanoparticles surface were carefully regulated by controlling parameters of cyclic voltammetry. To calculate exact mass and surface area catalytic activities of deposited Pd thinlayer on Au(111) nanoparticle, electrochemically active surface area (ECSA) and mass of the deposited Pd thinlayer were measured by cyclic voltammetry in 0.1 M HClO4 solution. Afterward, catalytic activities of the deposited Pd thinlayer were measured in 0.1 M HClO4 + 0.2 M formic acid solution. In case of less negative deposition potential, the amounts of deposited Pd mass and surface area were small. However, mass and ECSA activity of the deposited Pd to oxidize formic acid were increased.
-
Aromatic nitriles possess versatile utilities and are indispensible not only in organic synthesis but also in chemical industry. In fact, the nitrile group is an important precursor for various functional groups such as aldehydes, amines, amidines, tetrazoles, amides, and their carboxyl derivatives. Representative methods for the preparation of organonitriles with cyanide-containing reagents are the Sandmeyer and Rosenmund-von Braun reactions. Recently, a catalytic route to aryl nitriles has been reported on the basis of the chelation-assisted C-H bond activation or metal-catalyzed cyanation of haloarenes. In those cyanation protocols, the "CN" unit is provided from metal-bound precursors of MCN (M=Cu, K, Na, Zn), TMSCN, or K3Fe(CN)6. Additionally, it can be generated in situ from nitromethane or acetone cyanohydrin. Herein, we report the first example of generating "CN" from two different, readily available precursors, ammonia and N,N-dimethylformamide (DMF). In addition, its synthetic utility is demonstrated through the Pd-catalyzed cyanation of arene C-H bonds.
-
Hong, Dae-Wha;Kang, Kyung-Tae;Hong, Seok-Pyo;Shon, Hyun-Kyong;Lee, Tae-Geol;Choi, In-Sung S. 208
In this work, we developed self-assembled monolayers (SAMs) of alkanethiols on gold that can release amine groups, when an electrical potential was applied to the gold. The strategy was based on the introduction of the electroactive carbamate group, which underwent the two-electron oxidation with simultaneous release of the amine molecules, to alkanethiols. The synthesis of the designed thiol compounds was achieved by coupling isocyanate-containing compound with hydroquinone. The electroactive thiols were mixed with hydroxyl-containing alkanethiol [$HS(CH_2)_{11}OH$ ] to form mixed monolayers, and cyclic votammetry was used for the characterization of the release. The mixed SAMs showed a first oxidation peak at +540 mV (versus Ag/AgCl reference electrode), demonstrating irreversible conversion from carbamate to hydroqinone with simultaneous release of the amine groups. The second and third cycles showed typical reversible redox reaction of hydroquinone and quione: the oxidation and reduction occurred at +290 mV and -110 mV, respectively. The measurement of ToF-SIMS further indicates that electrochemical-assisted chemical reaction successfully released amine groups. This new SAM-based electrochemistry would be applicable for direct release of biologically active molecules that contain amine groups. -
Ultrasmall electodes are of great importance for basic electrochemical study and applications. We fabricated single crystal (111) Au nanowire (NW) by growth mechanism on substrate without any catalyst. Consequently, these high aspect NW combined with tungsten microwire and the electrodes having NW tip on their end were obtained. These single crystal Au (111) NWs were characterized by electron microscope and electrochemical analysis. We show that precise electrochemical measurement could be possible on these NW electrode by obtaining underpotential deposition (UPD) and ferricyanide CV profiles on the electrode. The immersed depth of electrode into solution was controlled in micrometer scale by piezo-driven manipulator.
-
Nanostructures, with a diversity of shapes, built on substrates have been developed within many research areas. Lithography is one powerful, but complex, technique to make structures at the nanometer scale, such as platinum nanowires for studying CO catalytic reactions [1], or aluminum nanodisks for studying the plasmon effect [2]. In this work, we approach a facile method to construct nanostructures using noble metals on a titania thin film by using self-assembled structures as a pattern. Here, a large-scale silica monolayer is transferred to the titania thin film substrates using a Langmuir-Blodgett trough, followed by the deposition of a thin transition metal layer. Owing to the hexagonal close-packed structure of the silica monolayer, we would obtain a metal nanostructure that includes separated metallic triangles (islands) after removing the patterning silica beads. This nanostructure can be employed to investigate the role of metal-oxide interfaces in CO catalytic reactions by changing the patterning silica particles with different sizes or by replacing the oxide support. The morphology and chemical composition of the structure can be characterized by scanning electron microscopy, atomic force microscopy and X-ray photoelectron spectroscopy. In addition, we modify these islands to a connected island structure by reducing the silica size of the patterning monolayer, which is utilized to generating hot electron flow based on the localized surface plasmon resonance effect of the metal nanostructures.
-
In addition to the catalysts' activity and selectivity, the deactivation of catalysts during use is of practical importance. It is crucial to understand the phenomena of the deactivation to predict the loss of activity during catalyst usage so that the high operational costs associated with catalyst replacement can be reduced. In this study, the activity of Ru catalysts, such as nanoparticles (3~6 nm) and polycrystalline thin film (50 nm), have been investigated under CO oxidation and oxidative/reductive reaction conditions at various temperatures with the ambient pressure X-Ray photoelectron spectroscopy (APXPS). With APXPS, the surface oxides on the catalyst are measured and monitored in-situ. It was found that the Ru film exhibited faster oxidation-and-reduction compared to that of nanoparticles showing mild oxidative-and-reductive characteristics. Additionally, the larger Ru nanoparticles showed a higher degree of oxide formation at all temperatures, suggesting a higher stability of the oxide. These observations are in agreement with the catalytic activity of Ru catalysts. The loss of activity of Ru films is correlated with bulk oxide formation, which is inactive in CO oxidation. The Ru nanoparticle, however, does not exhibit deactivation under similar conditions, suggesting that its surface is covered with a highly active ultrathin surface oxide. Since the active oxide is more stable as nanoparticles than as a film, the nanoparticles showed mild oxidative/reductive behavior, as confirmed by APXPS results. We believe these simultaneous observations of both the surface oxide of Ru catalysts and the reactivity in real time enable us to pinpoint the deactivation phenomena more precisely and help in designing more efficient and stable catalytic systems.
-
Gold catalysts supported on TiO2 have shown a unique catalytic behavior on CO oxidation, depending on surface effects. Particle size has an influence on the surface activity. To make monodisperse Au nanoparticles, organic capping ligands, such as alkylthiols, were used by a "greener" synthesis method [1,2] and Au nanoparticles were deposited on TiO2. However, organic capping ligands must be removed for high catalytic activities by the Au nanoparticles without changing the Au size [3]. We used UV ozone treatment to decompose thiol ligands. The samples have been characterized by X-ray photoelectron spectroscopy to examine the surface modification by UV ozone treatment. We show the size distribution of the gold nanoparticles by light scattering analysis and transmission electron microscopy. Au/TiO2 have been prepared using the wetness impregnation method. The catalytic performance of CO oxidation over Au supported on TiO2 under oxidizing reaction conditions (40 Torr CO and 100 Torr O2) were tested. The results show that the catalytic activity depends on particle size and the time of UV ozone exposure, which suggests the role of sulfur bonding in determining the catalytic activity of Au/TiO2 catalysts.
-
The adsorption and reactions of methanol and methyl iodide on ZnO(0001) and ZnO(11-20) single crystal surfaces have been investigated using the temperature programmed desorption (TPD) technique. The interaction of methanol and methyl iodide with ZnO is stronger on the polar ZnO(0001) surface than the non-polar ZnO(11-20) surface. On ZnO(0001), methanol is decomposed to produce formaldehyde and hydrogen. Two desorption features of formaldehyde and hydrogen are observed at around 500 and 580 K. The interaction of methanol and pre-adsorbed hydrogen has been also investigated. The reaction mechanism of methanol on ZnO will be proposed.
-
The bonding configuration and adsorption stability of alanine and leucine adsorbed on Ge(100)-2
${\times}$ 1 surface were investigated and compared using core-level photoemission spectroscopy (CLPES) and density functional theory (DFT) calculations. The bonding configuration, stability, and adsorption energies were evaluated for two different coverage levels. In both cases, the C 1s, N 1s, and O 1s core-level spectra at a low coverage (0.30 ML) indicated that the carboxyl and amine groups participated in bonding with the Ge(100) surface in an "O-H dissociated-N dative bonded structure". At high coverage levels (0.60 ML), both this structure and an "O-H dissociation bonded structure" were present. As a result, we found that alanine adsorbs more easily (lower adsorption energy) than leucine on Ge(100) surfaces due to less steric hindrance of side chain. -
We will investigate the bonding configurations of phenylalanine and tyrosine adsorbed on the Ge(100) surface using CLPES and DFT calculations. First, the C 1s, N 1s, and O 1s spectra obtained at 300 K revealed that both the amine and carboxyl groups of phenylalanine and tyrosine concurrently participated in adsorption on the Ge(100) surface without bond breaking using CLPES, depending on the extent of coverage. In the second place, we confirmed that the "O-H dissociated-N dative bonded structure" is the most stable structure implying kinetically favorable structure, and the "O-H dissociation bonded structure" is another stable structure manifesting thermodynamically advantageous structure using DFT calculations. This tendency turns up both phenylalanine and tyrosine, similarly. Furthermore, through the CLPES data and DFT calculation data, we discovered that the "O-H dissociated-N dative bonded structure" and the "O-H dissociation bonded structure" are preferred at 0.30 ML and 0.60 ML, respectively. Moreover, we found that the phenyl ring of phenylalanine is located in axial position to Ge(100) surface, but the phenyl ring of tyrosine is located in parallel to Ge(100) surface using DFT calculations.
-
Reddy, A. Satyanarayana;Kim, S.;Jeong, H.Y.;Jin, S.;Qadir, K.;Jung, K.;Jung, C.H.;Yun, J.Y.;Cheon, J.Y.;Joo, S.H.;Terasaki, O.;Park, Jeong-Young 217
Recently, demand for thermally stable metal nanoparticles suitable for chemical reactions at high temperatures has increased to the point to require a solution to nanoparticle coalescence. Thermal stability of metal nanoparticles can be achieved by adopting core-shell models and encapsulating supported metal nanoparticles with mesoporous oxides [1,2]. However, to understand the role of metal-support interactions on catalytic activity and for surface analysis of complex structures, we developed a novel catalyst design by coating an ultra-thin layer of titania on Pt supported silica ($SiO_2/Pt@TiO_2$ ). This structure provides higher metal dispersion (~52% Pt/silica), high thermal stability (~600$^{\circ}C$ ) and maximization of the interaction between Pt and titania. The high thermal stability of$SiO_2/Pt@TiO_2$ enabled the investigation of CO oxidation studies at high temperatures, including ignition behavior, which is otherwise not possible on bare Pt nanoparticles due to sintering [3]. It was found that this hybrid catalyst exhibited a lower activation energy for CO oxidation because of the metal-support interaction. The concept of an ultra-thin active metal oxide coating on supported nanoparticles opens-up new avenues for synthesis of various hybrid nanocatalysts with combinations of different metals and oxides to investigate important model reactions at high-temperatures and in industrial reactions. -
The CIGS Solar Cells have the highest conversion efficiency in the film-type solar cells. They consist of p-type CuInSe2 film and n-type ZnO film. The CdS films are used as buffer layer in the CIGS solar cells since remarkable difference in the lattice constant and energy band gap of two films. The CdS films are toxic and make harmful circumstances. The CdS films deposition process need wet process. In this works, we design and make the hitter and lamp reflection part in the sputtering system for the ZnS films deposition as buffer layer, not using wet process. Film thickness, SEM, and AFM are measured for the uniformity valuation of the ZnS films. We conclude the optimum deposition temperature for the films uniformity less than 1.6%. The ZnS films deposited by the sputtering system are more dense and uniform than the CdS films deposited by the Chemical Bath Deposition Method(CBD) for the CIGS Solar Cells.
-
터치패널은 키보드나 마우스와 같은 입력장치를 사용하지 않고, 스크린에 손가락, 펜 등을 접촉하여 입력하는 방식이다. 누구나 쉽게 입력할 수 있는 장점으로 인해 기존에는 현금인출기, 키오스크 등 공공분야에 주로 많이 사용되어 왔으나, 최근의 터치스크린은 휴대폰, 게임기, 네비게이션, 노트북 모니터 등 개인정보기기의 입력장치로 활용분야가 넓어져가고 있다. 기존 터치패널은 유리 기판 위에 ITO박막(투명전도막)을 진공코팅하여 사용하여 왔지만, 최근 터치패널은 경량화를 고려하여 PET 필름 기판 위에 ITO 박막을 진공코팅하여 사용하고 있다. PET 필름의 유연성 때문에 ITO 코팅된 필름을 PC 혹은 강화유리 위에 OCA 물질을 이용하여 다시 고정하여야 한다. 이때 터치패널 제작시 생산공정이 늘어나 생산성이 떨어지고, 터치패녈의 광투과율도 떨어지는 2차적인 문제가 발생한다. 이를 해결코자하는 터치페널 업체의 Needs가 있고, 최근에 이를 해결하기 위하여 PC, 강화유리 그리고 COP 기판 위에 ITO 박막을 직접 진공코팅하는 공정개발이 진행되고 있다. ITO 박막은 진공코팅 중에 열을 가하여 결정화를 이루어야 하는데, PC, 강화유리 그리고 COP 기판의 열에 약한 특성을 고려하여, 열을 가하지 않고 ITO 박막을 진공 코팅하여야 한다. 이러한 ITO 박막의 진공코팅 공정에는 In-line magnetron sputtering system이 사용된다. 본 연구에서는 In-line magnetron sputtering system을 사용하여 강화유리 기판 위에 정전용량방식 터치패널용 패턴 인비저블 ITO 투명전도막을 제작하고 그 특성을 조사하였다. ITO 박막의 면저항은 230 Ohm/cm2, 최고 광투과율은 90.96% (@541 - 543 nm), 그리고 550 nm에서 광투과율은 90.45%로 ITO 박막 코팅 전후에 투과율 차이가 0.4임을 확인하였다. 정전용량방식의 터치패널에서는 ITO 박막 코팅 전후에 투과율 차이가 1 이하의 특성, 즉 패턴 인비저블의 특성을 필요로 하는데, 이는 ITO 박막 패턴후에 패턴이 보이지 않게 하기 위해서이며, 이러한 시장의 Needs를 고려하면 본 연구에서 매우 중요한 연구 성과를 얻었다고 말할 수 있다.
-
최근, 대외적으로 기후변화협약 등 환경에 대한 관심이 높아지면서 국내에서도 온실가스 배출이 큰 에너지의 22.3%를 소비하고 있는 건축물로 인한 환경부하에 관심이 높아지며 고효율 창호의 필요성이 대두되고 있다. 기존의 Low-E (저방사) 유리는 적외선을 반사시켜 단열 유리로서 겨울철에 유리하지만, 건물 전면에 약 50~95% 창호가 사용되는 office 건물에는 여름철 냉방에너지를 많이 사용하기 때문에 단열뿐만 아니라 일사 차폐가 가능한 근적외선 차폐유리가 필요하다. 따라서 본 연구에서는 기존의 Low-E 유리의 문제점인 근적외선 투과문제를 해결하기 위한 기술로서 근적외선 영역을 선택적으로 차폐할 수 있는 박막코팅 물질(흡수체, 반사체) 및 Low-E 대체용 Themochromic 등 나노 박막을 RF magnetron sputtering으로 제조하여 복층유리 구조로 조합해 UV-visible을 측정하였으며 IR Lamp와 태양광 아래에서 온도변화 실험을 진행하였다. 그 결과, 기존 Low-E 복층유리 대비 본 실험에서 사용한 복층유리의 근적외선 차폐효율이 개선됨을 확인하였다.
-
Titanium dioxide (TiO2) has a number of applications in optics and electronics due to its superior properties, such as physical and chemical stability, high refractive index, good transmission in vis and NIR regions, and high dielectric constant. Atomic layer deposition (ALD), also called atomic layer epitaxy, can be regarded as a special modification of the chemical vapor deposition method. ALD is a pulsed method in which the reactant vapors are alternately supplied onto the substrate. During each pulse, the precursors chemisorb or react with the surface groups. When the process conditions are suitably chosen, the film growth proceeds by alternate saturative surface reactions and is thus self-limiting. This makes it possible to cover even complex shaped objects with a uniform film. It is also possible to control the film thickness accurately simply by controlling the number of pulsing cycles repeated. We have investigated the ALD of TiO2 at 100
$^{\circ}C$ using precursors titanium tetra-isopropoxide (TTIP) and H2O on -O, -OH terminated Si surface by in situ X-ray photoemission spectroscopy. ALD reactions with TTIP were performed on the H2O-dosed Si substrate at 100$^{\circ}C$ , where one cycle was completed. The number of ALD cycles was increased by repeated deposition of H2O and TTIP at 100$^{\circ}C$ . After precursor exposure, the samples were transferred under vacuum from the reaction chamber to the UHV chamber at room temperature for in situ XPS analysis. The XPS instrument included a hemispherical analyzer (ALPHA 110) and a monochromatic X-ray source generated by exciting Al K${\alpha}$ radiation (h${\nu}$ =1486.6 eV). -
적외선 감지기로 사용되는 microbolometer 소자재료로 VOx 또는 비정질 Si이 가장 많이 사용된다. 그 중에서 VOx 물질은 온도저항계수 즉, TCR이 높고 감지도가 우수하기 때문에 비냉각 적외선 검출기에 많이 응용된다. Microbolometer 검출기는 그 응답도는 micromachining 공정에 의해 좌우되는 열 고립구조에 의해 좌우된다. 특히 TCR 값이 크고, 열시상수 값이 작을수록 양질의 감지도를 얻을 수 있으므로 재료의 선택 및 공정이 매우 중요하다. 따라서 본 연구에서는 비냉각 적외선 감지소자로 사용되는 VOx 박막을 DC Sputtering을 사용하여 증착하였으며, 그 특성을 조사하였다. MEMS 공정에 의한 센서의 제작은 적외선을 흡수하여 저항변화를 읽어내어 판독하는 Readout IC(ROIC) 위에 행해진다. Monolithic 공정에 의해 이러한 ROIC 위에서 공정이 동시에 행해지므로 공정온도는 매우 중요한 요소로 작용한다. 따라서 증착된 VOx 박막의 열처리 효과를 연구하였다. 열처리 온도는
$250^{\circ}{\sim}420^{\circ}C$ , 열처리 시간은 20~80 min 까지 변화시켰다. 갓 증착된 VOx 박막의 저항은 약 200$k{\Omega}$ 이였으며, TCR은 -1.5%/$^{\circ}C$ 로 나타났다. 열처리 온도가 증가함에 따라 TCR 값은 증가하였으며, 열처리 시간이 증가할수록 역시 TCR 값이 증가하는 경향을 보였다. 열처리 온도 320$^{\circ}C$ , 열처리 시간 40 min에서 TCR 값은 약 -2%/$^{\circ}C$ 의 값을 얻을 수 있었다. 이러한 성능의 VOx 박막을 이용하여 비냉각형 microbolometer 검출소자를 열변형없이 공정을 수행할 수 있을 것으로 기대한다. -
OLED (Organic Light-Emitting Diode) 디스플레이에서는 반사율이 가장 높은 silver (Ag)가 쓰이고 있지만, 소자에서 요구되는 일함수의 불일치 때문에 전극과 유기물간에 에너지 장벽이 발생하여 발광효율을 낮추는 요인이 되고 있다. 본 논문에서는 Ag 전극의 work function을 조절하기 위한 연구를 진행하였다. Ag를 rf magnetron sputter를 이용해 glass위에 증착한 후 furnace에서 300
$^{\circ}C$ , 30분간 공기중에서 열처리 하였고. 또 다른 샘플은 산소분위기에서 표면에 상압플라즈마로 처리 시간(30, 60, 90, 120 sec)을 각기 다르게 하여 샘플을 제조하였다. Ag전극은 Nanoindentation을 통해 국부 영역에 대한 물리적 특성의 변화를 측정하였고 Kelvin Probe Force Microscopy (KPFM)을 이용해 샘플의 포텐셜을 측정했다. 그 결과 열처리한 샘플은 포텐셜값은 가장 커졌지만 균일도가 낮아졌다. 30 sec, 120 sec 플라즈마 처리한 샘플은 탄성계수, 경도값, 및 Weibull modulus를 극히 낮게 만들었지만 60s, 90s 플라즈마 처리는 샘플의 경도값 균일도를 증가시켰다. -
Shin, Hye-Chung;Seo, Soon-Joo;Denny, Yus Rama;Lee, Kang-Il;Lee, Sun-Young;Oh, Suhk-Kun;Kang, Hee-Jae;Heo, Sung;Chung, Jae-Gwan;Lee, Jae-Cheol 225
The dielectric and optical properties of GaInZnO (GIZO), HfInZnO (HIZO) and InZnO (IZO) thin films on glass by RF magnetron sputtering method were investiged using reflection electron energy loss spectroscopy (REELS). The band gap was estimated from the onset values of REELS spectra. The band gaps of GIZO, HIZO and IZO thin films are 3.1 eV, 3.5 eV and 3.0 eV, respectively, Hf and Ga incorporated into IZO results in an increase in the energy band gap of IZO by 0.5 eV and 0.1 eV. The dielectric functions were determined by comparing the effective cross section determined from experimental REELS with a rigorous model calculation based on the dielectric response theory, using available software package, good agreement between the experimental and fitting results gives confidence in the accuracy of the determined dielectric function. The main peak of Energy Loss Function (ELF) obtained from IZO shows at 18.42 eV, which shifted to 19.43 eV and 18.15 eV for GIZO and HIZO respectively, because indicates the corporation of cation Ga and Hf in the composition. The optical properties represented by the dielectric function e, the refractive index n, the extinction coefficient k, and the transmission coefficient, T of HIZO and IZO thin films were determined from a quantitative analysis of REELS. The transmission coefficient was increased to 93% and decreased to 87% in the visible region with the incorporation of Hf and Ga in the IZO compound. -
금속 산화물 계 선택적 투과막을 투명 태양전지 내에 채용함으로서 태양전지의 변환효율을 증가시킬 수 있다. 입사된 빛이 파장에 따라 선택적으로 투과되는 특성을 갖는 선택적 투과막은 가시광선은 투과시키고, 적외선 영역은 광흡수층으로 반사시키는 역할을 한다. 선택적 투과막을 형성하는 방법은 atomic layer deposition (ALD)이 널리 알려져 있고 최근에 기존의 ALD에 비하여 제조원가를 절감할 수 있는 스퍼터 (sputter) 증착을 이용하여 Al 및 Ti 산화물 계선택적 투과막을 형성한 결과가 보고되었다. 본 연구에서는 스퍼터 증착으로 형성된 Al-Ti-O(ATO) 박막의 투과율과 반사율을 UV/vis spectro photometer를 이용하여 측정하고 증착 조건을 조절함으로써 투명 태양전지에 적용하기 적합한 광학적 특성을 나타내는 선택적 투과막을 얻고자 하였다. 스퍼터링 전력을 다르게 하여 Al과 Ti의 조성비를 조절함으로써 ATO 박막의 가시광선 대역 투과율을 높일 수 있음을 확인하였다.
-
낮은 공정비용과 높은 집적도를 가지는 플래시 메모리 소자에 대한 휴대용기기에 응용가능성때문에 연구가 필요하다. 플래시 메모리 중에서도 질화막에 전하를 저장하는 전하 포획 플래시 메모리 소자는 기존의 부유 게이트 플래시 메모리 소자에 비해 공정의 단순하고 비례축소에 용이하며 인접 셀 간의 간섭에 강하다는 장점으로 많은 관심을 갖게 되었다. 소자의 크기가 작아짐에 따라 전하 포획 플래시 메모리 소자 역시 인접 셀 간의 간섭현상과 단채널 효과가 문제를 해결할 필요가 있다. 본 연구에서는 인접 셀 간의 간섭을 최소화 시키기 위하여 metal-oxide-nitride-oxide-silicon (MONOS) 플래시 메모리 소자에 bit-line 방향으로 금속 공간층을 삽입할 구조를 사용하였으며 금속 공간층의 깊이에 따른 전기적 성질을 비교하였다. 게이트 길이는 30 nm, 금속 공간층의 깊이를 채널 표면에서부터 4 nm~12 nm까지 변화하면서 TCAD 시뮬레이션 툴인 Sentaurus를 사용하여 전기적 특성을 계산하였다. 금속 공간층의 깊이가 채널표면에 가까워 질수록 fringing field가 증가하여 드레인 전류가 증가하였고, 금속 공간층의 전기적 차폐로 인해 인접 셀의 간섭현상도 감소하였다. 금속 공간층이 표면에 가까이 위치할수록 전하 저장층을 감싸는 면적이 증가하여 coupling ratio가 높아지기 때문에 subthreshold swing 특성이 향상되었으나, 금속 누설전류가 증가하였다.
-
Silicon-oxide-nitride-oxide-silicon구조를 가진 전하포획 플래시 메모리 소자의 Slicon-on-insulator 기판의 절연층 깊이에 따른 전기적 특성부유 게이트 Floating gate (FG) 플래시 메모리 소자의 단점을 개선하기 위해 전하 포획 층에 전하를 저장하는 전하 포획 플래시 메모리 Charge trap flash (CTF)소자에 대한 연구가 활발히 진행되고 있다. CTF소자는 FG플래시 메모리 소자에 비해 비례축소가 용이하고 긴 retention time을 가지며, 낮은 구동 전압을 사용하는 장점을 가지고 있다. CTF 소자에서 비례축소에 따라 단채널 효과와 펀치-쓰루 현상이 증가하는 문제점이 있다.본 연구에서는 CTF 단채널 효과와 펀치-쓰루 현상을 감소시키기 위한 방법으로 silicon-on-insulator (SOI) 기판을 사용하였으며 SOI기판에서 절연층의 깊이에 따른 전기적 특성을 고찰하였다. silicon-oxide-nitride-oxide-silicon(SONOS) 구조를 가진 CTF 메모리 소자를 사용하여 절연층의 깊이 변화에 따른 subthreshold swing특성, 쓰기-지우기 동작 특성을 TCAD 시뮬레이션 툴인 Sentaurus를 사용하여 조사하였다. 소스와 드레인의 junction depth는 20 nm 사용하였고, 절연층의 깊이는 5 nm~25 nm까지 변화하면서 절연층의 깊이가 20 nm이하인 fully depletion 소자에 비해, 절연층의 깊이가 25 nm인 소자는 partially depletion으로 인해서 드레인 전류 레벨이 낮아지고 subthreshold swing값이 증가하는 현상이 나타났다. 절연층의 깊이가 너무 얕을 경우, 채널 형성의 어려움으로 인해 subthreshold swing과 드레인 전류 레벨의 전기적성질이 SOI기판을 사용하지 않았을 경우보다 떨어지는 경향을 보였다. 절연층의 깊이가 17.5 nm인 경우, CTF소자의 subthreshold swing과 드레인 전류 레벨이 가장 좋은 특성을 보였다.
-
RF magnetron sputtering을 이용하여 Ar 및
$O_2$ 유량에 따라 GZO 박막을 유리기판 위에 제작하고 구조적, 광학적, 전기적 특성을 조사하였다. 박막 증착 조건의 초기 압력은$1.0{\times}10^{-6}$ Torr, RF 파워는 25W, 증착온도는 상온으로 고정하였으며 기판은 Corning 1737 유리 기판을 사용하였다. 공정 변수로 Ar 유량을 40 sccm, 60 sccm, 80 sccm, 100 sccm으로 변화시켰으며,$O_2$ 가스비율을 5~20%으로 변화를 주어 실험을 진행하였다. GZO 타겟은 ZnO,Ga 분말을 각각 97:3 wt.%로 소결된 타겟을 사용하였다. 유리기판 위에 증착된 모든 GZO 박막에서 (002) 면의 우선 배향성이 관찰되었고 평균 85% 이상의 투과율을 나타내었다. 산소유량이 포함되지 않고 Ar 유량이 적은 GZO 박막의 결정성은 향상되었고, 광학적 밴드갭은 증가하였다. Hall 측정 결과 산소의 유량이 포함되어 있는 박막에서는 모두 완전한 산화물에 가까운 화학양론적 조성으로 면저항이$10^6{\Omega}/{\Box}$ 이상인 부도체 특성을 보였으며, 산소가 포함되지 않은 샘플에서는 투명전도막 특성이 확인되었다. 산소가 포함되지 않은 Ar 유량이 60 sccm일 때 전기비저항$3.25{\times}10^{-3}{\Omega}cm$ , 전하의 농도$9.41{\times}10^{20}\;cm^{-3}$ , 이동도 2.04$cm^2V^{-1}s^{-1}$ 로 투명전도막으로 적합한 전기적 특성을 얻었다. GZO 박막의 경우 산소가 포함될 경우 결정성이 저하되고, 절연특성을 갖는 것을 확인할 수 있었다. -
본 연구에서는 co-sputtering 시스템을 이용하여 아나타세 TiO2의 도핑 농도 변화에 따른 다성분계 TiO2-ITO (TITO) 박막의 전기적, 광학적, 구조적 특성 변화 및 급속 열처리(RTA) 공정에 따른 전기적, 광학적 특성 변화를 분석하였다. 실험을 위해 아나타세 TiO2 타겟과 ITO 타겟(10 wt%
$SnO_2$ doped$In_2O_3$ )이 tilted cathode에 장착되었으며, ITO 타겟의 인가전류를 120 W로 고정한 채 아나타세 TiO2 타겟의 인가전류를 증가시킴으로써 도핑 농도를 변화하였다. 제작된 TITO 투명 전극의 전기적, 광학적, 구조적 특성 평가를 위해 four-point probe measurement, Hall effect measurement, UV/Vis. spectrometry, scanning electron microscopy (SEM) 이용하여 각각의 특성을 분석하였다. 상온에서 제작된 TITO의 경우 최적화된$TiO_2$ 인가전류 100W에서 460.8 ohm/sq. 의 전기적 특성과 가시광선 영역 400~550 nm에서 85% 이상의 광학적 투과율을 확보할 수 있었다. 뿐만 아니라 상온에서 최적화된 TITO 투명 전극의 급속 열처리 시 600$^{\circ}C$ 급속 열처리 조건에서 매우 낮은 25.94 ohm/sq.면저항,$5.1{\times}10^{-4}$ ohm-cm 비저항과 81% 투과율을 확보할 수 있었다. 아나타세$TiO_2$ 가 도핑된 TITO 투명 전극의 급속 열처리 공정에도 불구하고 매우 평탄한 표면을 나타냄을 SEM 이미지를 통하여 확인할 수 있었다. 이러한 TITO 투명 전극의 우수한 전기적, 광학적, 구조적 특성은 indium saving 투명 전극으로써 고가 ITO 박막의 대치가능성을 나타낸다. -
투명전도산화물에 대한 연구가 많이 이루어지고 있으며, 최근 Ga이 도핑된 ZnO의 연구가 많이 되고 있다. 투명전도산화물은 태양전지, 평면디스플레이와 같은 다양한 분야에 응용이 가능하다. 본 연구에서는 RF magnetron sputtering을 이용하여 Ar gas 유량 변화에 따른 GZO 박막을 연구하였다. 기판으로는 유리기판을 사용하였으며, 전기적, 광학적, 구조적인 특성을 조사하였다. 박막의 증착시 초기 압력은
$2.0{\times}10^{-6}$ Torr 이하로 하였으며, 증착온도는 상온으로 고정하여 증착하였다. 기판은 Corning 1737 유리 기판을 사용하였고, GZO 타겟은 ZnO : Ga 분말이 각각 97 : 3 wt.%로 소결된 타겟을 사용하였다. Ar 유량변수는 20, 40, 60, 80 sccm으로 변화를 주었다. 유리기판에 증착된 모든 GZO박막은 약 200 nm의 두께로 증착되었으며 모든 GZO 박막에서 85%이상의 투과율을 나타내었다. Ar 유량이 적을수록 투과율을 증가하였으며, 광학적 밴드갭 또한 증가하였다. 공정별로 제작된 모든 GZO박막에서 (002)면의 배향성이 관찰되었고, Ar 유량이 적을수록 박막의 결정성은 향상되었다. Hall 측정 결과 Ar 유량이 20 sccm일 때 전기비저항$3.46{\times}10^{-3}{\Omega}cm$ , 전하의 농도$3.832{\times}10^{-20}\;cm^{-3}$ , 이동도$4.7cm^2V^{-1}s^{-1}$ 로 전극으로서의 특성을 나타내었다. GZO 박막의 경우 Ar 유량이 적었을 때 결정성이 높아지고, 전극 특성이 더 우수한 것을 확인할 수 있었다. -
건물 내부의 에너지 효율을 높이기 위해 창호의 단열 효율을 높이는 연구가 최근 큰 주목을 받고 있다. 특히 고굴절률과 저굴절률의 소재를 이용한 다층 박막 구조를 형성하여 높은 광투과율을 유지하면서도 적외선 에너지를 선택적으로 차단하는 창호의 연구가 이루어지고 있다. 본 연구에서는 고굴절률 특성을 가진 TiO2박막을 이종 금속 이온을 sol-gel법을 이용해 첨가 복합화한 후 유리 기판에 스핀 코팅후 열처리하여 성막하였다. 생성된 막은 atomic force microscopy (AFM), 전계 방출 전자현미경, UV-vis를 이용해 각각의 금속 이온에 대한 박막 표면의 형상 변화와 광학적 특성 변화를 확인하였다.
-
IGZO 투명 전도 박막은 TFT-LCD에 사용되는 투명 전도성 산화물 박막으로서 다양한 광전자 소자의 투명 전극으로 널리 사용되고 있다. 본 연구에서는 RF magnetron sputtering법으로 corning 1737 유리기판 위에 RF 파워의 변화에 따라 증착한 IGZO박막의 광학적 전기적 특성 변화를 연구하였다. 박막 증착 조건은 초기 압력
$2.0{\times}10^{-6}$ Torr, 증착 압력$2.0{\times}10^{-2}$ Torr, 반응가스 Ar 50 sccm, 증착 온도는 실온으로 고정하였으며, 공정변수로 RF 파워를 25 w, 50 w, 75 w, 100 w로 변화시키며, IGZO 타겟은$In_2O_3$ ,$Ga_2O_3$ , ZnO 분말을 각각 1 : 1 : 2 mol% 조성비로 혼합하여 소결한 타겟을 사용하였다. 표면분석(AFM)결과 RF 파워가 증가함에 따라 거칠기가 증가하였으며, XRD 분석결과 Bragg's 법칙을 만족하는 피크가 나타나지 않는 비정질 구조임을 확인할 수 있었다. 가시광 영역에서 (450 nm~700 nm) 25 w일 때 85% 이상을 확인하였고, RF 파워가 증가할수록 밴드갭이 감소하는 것을 확인하였다. RF 파워가 100 w인 경우 carrier 밀도는$7.0{\times}10^{19}\;cm^{-3}$ , Mobility 13.4$cm^2$ /V-s, Resistivity$6.0{\times}10^{-3}\;{\Omega}-cm$ 로 투명전도막의 특성을 보였다. -
ZnO 나노구조체를 성장하는 여러 가지 방법 중에서 전기 화학 증착법은 컨트롤이 용이하며 저렴한 가격으로 낮은 온도에서 성장이 가능할 뿐만 아니라 대면적으로 성장할 수 있는 장점이 있다. 나노구조체의 직경과 길이는 indium-tin-oxide와 Ag/AgCl 전극 사이의 전류 밀도 증가에 따라 변화하는 것을 알 수 있었다. Zinc nitrate 몰 농도를 조절하여 다양한 형태의 ZnO 나노구조체를 만들 수 있었다. 70
$^{\circ}C$ 에서 4시간 동안 성장한 ZnO 나노구조체를 대기에서 400$^{\circ}C$ 로 2분 동안 열처리를 하였다. 성장된 ZnO 나노구조체에 대한 X-선 회절 측정 결과로부터 (0002) 피크가 34.35$^{\circ}$ 에서 나타나는 것을 확인하였다. 주사전자현미경 측정 결과로부터 zinc nitrate의 몰 농도가 낮을 때 성장한 ZnO 나노구조체는 와이어 형태로 형성되었음을 확인하였다. 그러나 zinc nitrate의 몰 농도가 높아지게 되면 ZnO 나노구조체의 모양이 와이어에서 막대 또는 접시 형태로 변화 되는 것을 알 수 있었다. 300K에서의 광루미네센스 스펙트럼 결과로부터 zinc nitrate의 농도에 따라 다르게 형성된 ZnO 나노구조체는 엑시톤과 관련된 피크가 zinc nitrate의 몰 농도 변화에 따라 달라지는 것을 확인 하였다. -
Silicon oxynitride (SiON) was deposited for gas barrier film on polyethylene terephthalate (PET) using octamethylycyclodisiloxane (Si4O4C8H24, OMCTS) precursor by plasma enhanced chemical vapor deposition (PECVD) at low temperature. The ion flux and substrate temperature were measured by oscilloscope and thermometer. The chemical bonding structure and barrier property of films were characterized by Fourier transform infrared (FT-IR) spectroscopy and the water vapor transmission rate (WVTR), respectively. The deposition rate of films increases with RF bias and nitrogen dilution due to increase of dissociated precursor and nitrogen ion incident to the substrate. In addition, we confirmed that the increase of nitrogen dilution and RF bias reduced WVTR of films. Because, on the basis of FT-IR analysis, the increase of the nitrogen gas flow rate and RF bias caused the increase of the C=N stretching vibration resulting in the decrease of macro and nano defects.
-
본 연구에서는 중성입자빔과 일반적인 ICP 플라즈마를 이용하여 성장시킨 SiON 박막의 물리적 특성 및 전기적 특성을 비교하여 분석하였다. 중성입자빔 및 ICP 플라즈마를 이용하여 기판 온도 400
$^{\circ}C$ 조건에서 공정 시간에 따라 각각의 SiON 박막을 성장시켰으며 SiON 박막에 metal insulator semiconductor(MIS) 구조를 만들어 capacitance-voltage (C-V), current-voltage (I-V) 특성, 박막 두께 및 박막 내의 질소 분포 등을 비교 분석하였다. 기판 온도 400$^{\circ}C$ 조건에서 형성시킨 중성입자빔 및 플라즈마-SiON 박막의 두께는 6.0~10.0 nm, 굴절률 (n)은 1.5~1.8이며, 유전 상수는 4.2~5.0이다. 중성입자빔 SiON 박막의 절연파괴 전압은 약 14 MV/cm 이며, 플라즈마-SiON 박막의 절연파괴전압은 약 9~11 MV/cm 수준으로 중성입자빔-SiON 박막에 비하여 낮은 수준이다. 따라서 중성입자빔을 이용하여 400$^{\circ}C$ 에서 하전 입자에 의한 손상이 없는 양질의 SiON 박막을 형성시킬 수 있었다. -
Ion sensitive field effect transistor (ISFET)는 용액의 이온 농도를 측정하는 반도체 센서로, 1970년 Bergveld에 의해 처음으로 제안되었다. ISFET가 제안된 이래로, 제조공정이 간단하고 감지막의 감지 특성 평가가 용이한 electrolyte-insulator-semiconductor (EIS) pH센서 또한 지속적으로 연구되었다. EIS pH센서는 작은 소자 크기, 견고한 구조, 빠른 응답속도와 CMOS공정과의 호환성이 좋다는 장점이 있다. EIS 또는 ISFET 센서를 이용하여 생물학적 요소의 신호 감지 특성을 평가함에 있어 소자의 signal to noise 비율이 우수해야 한다. EIS pH센서의 높은 signal to noise 비율을 얻기 위해, 소자의 표면적을 증가시키거나 감지막으로 유전상수가 높은 물질을 사용하여 출력 특성을 향상시켜야 한다. 본 연구에서는 trench구조와 SiO2/HfO2/Al2O3 (OHA) 적층 감지막을 갖는 EIS pH센서를 제작하여 출력 특성을 증가시키는 실험을 실시하였다. 120 nm, 380 nm, 780 nm의 다양한 깊이를 가진 trench를 형성하였으며, trench 깊이에 따른 출력특성을 비교하였다. 또한, 제작된 EIS 소자의 pH감지 특성을 분석하였다. 제작된 EIS소자의 감지막 중 SiO2는 Si와 high-k물질의 계면 상태를 보완하기 위한 완충막으로 성장되었고, HfO2는 높은 유전상수를 가지고 있어 signal to noise 비율을 향상시키는 물질로 증착되었다. 최종적으로 Al2O3는 pH용액과의 화학적 손상을 막기 위한 물질로 증착되었다. 실험 결과, trench 깊이가 깊어질수록 출력값이 증가하였고 이는 signal to noise 비율이 향상되는 것을 의미한다. 결론적으로 trench 형성을 통한 표면적 증가와 high-k물질을 적층한 감지막으로 인해 높은 출력 특성을 갖는 우수한 EIS 바이오센서를 제작할 수 있었다.
-
최근에 감지막의 pH 감지특성을 평가하기 위해 electrolyte insulator semiconductor (EIS) 구조가 유용하게 이용되고 있다. EIS는 CMOS공정과 호환이 가능하고 구조가 간단하며 pH 변화에 반응속도가 빠르다는 장점을 가지고 있다. EIS 구조를 갖는 pH 센서의 동작 메커니즘은 pH 용액의 수소이온이 감지막의 표면에서 표면전위를 변화시키는 것에 기인한다. pH 감지막으로는 높은 유전율과 안정성이 뛰어난 high-k 물질이 많이 연구되고 있다. 그 중 high-k 물질인 ZrO2은 낮은 열전도도, 산성에서 알칼리성 영역까지의 넓은 화학안정성을 가지며 낮은 열 팽창성, 높은 유전상수 등 우수한 특성을 가지고 있다. 본 실험은 SiO2/ZrO2를 적층한 EIS 소자를 제작하여 열처리에 따른 전기적 특성과 pH 감지 특성을 평가해 보았다. EIS 적층막으로 사용된 SiO2는 실리콘과 high-k 감지막 사이의 계면상태를 양호하게 유지시키기 위한 완충막으로 성장되었다. 후속열처리는 rapid thermal annealing (RTA) 시스템을 이용하여 750
$^{\circ}C$ , 850$^{\circ}C$ , 950$^{\circ}C$ 로 H2/N2 분위기에서 30초 동안 실시하였다. RTA 열처리 온도가 증가할수록 높은 pH 감지특성이 보였으며 hysteresis 현상과 drift 효과와 같은 non ideal 효과에 강한 immunity가 있는 것을 확인하였다. 결론적으로 SiO2/ZrO2 적층구조를 갖는 EIS는 RTA 950$^{\circ}C$ 열처리를 실시하였을 때 우수한 EIS pH 센서를 제작할 수 있을 것으로 기대된다. -
투명전도성 산화물 박막은 넓은 밴드갭을 가지고 있으며 금속 도핑에 따라서 낮은 저항과 높은 투과도를 가지고 있다. 이러한 투명전도성 산화물 박막은 광학 디바이스, 유기광전자 디바이스(OLED) 및 태양전지 등 다양한 분야에 응용이 되고 있다. 또한 이러한 투명전도성 산화물 박막중에서도 AZO 박막은 실리콘 태양전지의 전극으로 사용이 되며, 이를 식각하여 다양한 모양을 가지는 박막으로 성장시킬 경우 빛의 산란 및 포집 효과에 의해서 태양전지의 current density를 증가시키는 요인이 된다. 본 연구에서는 AZO 박막을 RF magnetron sputtering법을 이용하여 유리 기판위에 성장하였다. 또한, 성장된 AZO 박막은 염산, 질산, 황산, 인산, 초산 등의 다양한 산성용액을 이용하여 식각을 하였다. 그 결과 식각률은 식각용액의 농도 및 pH에 따라서 다양한 변화를 보였으며, 식각된 AZO 박막은 실리콘 태양전지에 응용이 가능할 것으로 기대된다.
-
유기물을 기반으로 하는 유기발광소자(OLED), 유기메모리(OBD) 및 유기 태양전지(organic solar cell) 등과 같은 차세대 전자 소자는 기존의 무기물 기반의 소자에 비해 가격이 싸고 제작방법이 간단하며 휘어지게 만들 수 있다는 장점을 갖기 때문에 많은 관심을 받고 있다. 유기물질을 기반으로 한 전자 소자의 효율을 향상시키기 위해서는 유기물 자체의 물리적인 특성을 고찰하는 연구가 중요하다. 특히, 유기물 내에서의 전하 전송 메카니즘을 이해하기 위해 유기물의 이동도에 대한 연구가 중요하나, 아직까지 유기물질을 기반으로 한 전자 소자의 전하이동도에 대한 이론적인 연구가 거의 없다. 본 연구에서는 온도 변화에 따른 유기물 내에서의 전자 이동도를 몬테카를로 방법을 이용하여 계산하였다. 시뮬레이션을 위한 기본 구조로 소자의 길이는 50~500 사이트로 하였으며, 이웃한 사이트간 거리는 3A로 결정하였다. 유기물 내에 존재하는 트랩의 분포는 가우시안 분포로 가정하였다. 유기물 내에서의 전자 이동도를 추출하기 위해 이웃한 트랩간의 천이 확률을 Miller and Abrahams 식을 이용하여 계산하고[1], 트랩간의 천이시간을 컴퓨터에서 발생시킨 난수를 통해 얻어 이들을 통계적으로 처리하여 유기물 내에서의 전자 이동도를 계산하였다. 시뮬레이션 결과, 전자 이동도는 전계가 증가함에 따라 일정하게 증가하다가 일정 전계에서 포화된 후, 다시 감소하는 현상을 갖는다. 초기의 전계영역에서는 전계의 증가에 따라 유기물 내 트랩간의 천이 확률이 증가하기 때문에 전자 이동도가 증가한다. 하지만, 일정 전계 이상의 큰 전계 영역에서는 전자의 이동 속도는 거의 변하지 않는 상태에서 전계는 계속 증가하기 때문에 상대적으로 전자 이동도는 줄어들게 된다. 다양한 길이를 갖는 벌크 상태의 유기소자에 대한 전자 이동도를 시뮬레이션 하였을 때, 소자의 크기와 상관없이 전자 이동도는 거의 일정 하였다. 이는 순수한 벌크 상태의 유기소자는 유기물 자체에서의 전자 움직임에 의해 전자 이동도가 결정되기 때문이다. 온도가 높아짐에 따라 유기물 내의 전자 이동도는 증가하였다. 이는 온도가 증가할수록 열적 여기에 의한 트랩간의 천이 확률이 증가하기 때문이다. 하지만, 트랩의 분산도가 30 meV로 작을 경우, 일정 온도 이상에서의 전자 이동도는 포화되어 일정한 값으로 유지한다. 유기물 내에 존재하는 트랩 분포에 따라 온도의 변화에 따른 전자 이동도 특성이 달라짐을 알 수 있다. 이러한 결과는 유기물질을 기반으로 한 전자소자에서의 전하 전송 메카니즘을 이해하고 소자의 제작 및 특성 향상에 도움이 된다고 생각한다.
-
최근에 산화물 반도체를 평판 디스플레이와 태양 전지의 투명 전극으로 응용하기 위해 많은 연구가 진행중에 있다. 특히,
$In_2O_3$ 박막은 투명 전도 산화막으로써 3.7 eV의 직접 전이 밴드갭 에너지를 갖고 가시광 영역에서 높은 투과도를 갖는 반도체이어서 다양한 영역에서 응용 가능하다. 본 연구는 낮은 비저항과 높은 투과율을 갖는 최적의 투명 전도막을 성장시키기 위하여 라디오파 반응성 마그네트론 스퍼터링 방법을 사용하여 질소 도핑된$In_2O_3$ 박막을 유리 기판 상부에 증착하였고, 후열처리로 온도 400, 450, 500, 550$^{\circ}C$ 에서 급속 열처리를 수행하여, 증착된 박막의 구조, 표면, 광학, 전기적 특성을 조사하였다. 증착된 박막은 XRD를 사용하여 구조적 특성을 조사한 결과,$2{\theta}=30.2^{\circ}$ 와 43.95$^{\circ}$ 에서 상대적으로 강한 피크가 관측되었다(Fig. 1). 전자는 (222)면에서 회절된 피크이며, 후자는 (100)면에서 발생한 회절 피크이다. 열처리 온도가 0$^{\circ}C$ 에서 500$^{\circ}C$ 로 증가함에 따라 (222) 면의 회절 신호의 세기는 상대적으로 증가하였고, 550$^{\circ}C$ 에서 급격하게 감소하였다. 박막의 광학적 특성은 자외선-가시광선 분광기를 사용하여 광학 흡수율과 투과율을 측정하였다(Fig. 2). 열처리를 하지 않은 박막의 경우에, 파장 200~1,100 nm 범위에서 측정된 평균투과율은 76%이었다. 광학 흡수 계수와 광자 에너지의 관계를 나타내는 포물선 관계식을 기초로 하여 광학 밴드갭 에너지를 계산하였다. 박막의 전기적 특성의 경우에, Hall 효과를 측정하여 전하 운반자 농도, 홀 이동도, 전기 비저항을 조사한 결과, 전기적 특성은 열처리 온도에 상당한 의존성을 나타냄을 알 수 있었고, 열처리 온도 500$^{\circ}C$ 에서 박막의 비저항값은$4.0{\times}10^{-3}{\Omega}cm$ 이었다. -
$BiFeO_3$ (BFO)박막에 전위금속 Pb와 Co를 각각 치환환 박막을 chemical solution deposition 방법으로 Pt/Ti/SiO2/Si(100) 기판위에 증착하였다. Bi 자리에 Pb와 Co를 20 at.% 치환하였으며, 치환된$Bi_{0.8}Pb_{0.2}FeO_3$ (BPFO),$Bi_{0.8}Co_{0.2}FeO_3$ (BCFO) 박막의 구조적, 자기적 특성 변화를 BFO 박막과 비교하여 조사하였다. XRD 패턴을 분석한 결과 BPFO, BCFO 박막들은 모두 rhombohedrally distorted perovskite 구조였으며 불순물인 pyrochlore 상이 약하게 관측되었다. 치환이 이루어진 BPFO, BCFO 박막들의 자기 이력곡선은 안정된 포화곡선을 나타냈으며 BFO의 포화값(5 emu/$cm^3$ )에 비해 크게 증가된 55 emu/$cm^3$ , 35 emu/$cm^3$ 의 값을 나타냈다. 또한 보자력장(coercive field, Hc)값도 BFO의 500 Oe보다 크게 증가된 1,200 Oe, 800 Oe의 값을 보였다. -
졸-겔 스핀코팅(sol-gel spin-coating)법을 이용하여 실리콘 기판에 ZnO 박막을 증착하였다. 증착된 졸 용액을 전열처리(pre-heat treatment) 후, 다른 속도로 상온까지 냉각시켰다. ZnO 박막의 특성 분석을 위하여 atomic force microscopy (AFM), X-ray diffraction (XRD), Raman, photoluminescence (PL)을 이용하였다. 전열처리 후 5
$^{\circ}C$ /min의 속도로 천천히 냉각시킨 ZnO 박막은 산맥구조(mountain chain structure)로 표면이 매우 거친 반면, 빠르게 냉각시킨 ZnO 박막은 매우 매끄러운 표면을 나타내었다. 빠르게 냉각시킨 ZnO 박막의 c-축 배향성(c-axis preferred orientation)이 느리게 냉각시킨 ZnO 박막의 배향성보다 더 우세하게 나타났고, 결정성도 우수하였다. 뿐만 아니라, 빠르게 냉각시킨 ZnO 박막의 광학적 특성이 느리게 냉각시킨 ZnO 박막의 특성보다 우수하게 나타났다. 후열처리(post-heat treatment)에 의해 ZnO 박막의 구조적 및 광학적 특성이 더욱 향상되었다. -
플라즈마 분자선 에피택시(plasma-assisted molecular beam epitaxy)법을 이용하여 다공질 실리콘(porous silicon)에 ZnO 박막을 성장하였다. 성장 후, 아르곤 분위기에서 10분 간 다양한 온도(500~700
$^{\circ}C$ )로 열처리하였다. 다공질 실리콘 및 열처리 온도가 ZnO 박막의 특성에 미치는 영향을 scanning electron microscopy (SEM), X-ray diffraction (XRD), photoluminescence (PL)을 이용하여 분석하였다. 실리콘 기판에 성장된 ZnO 박막은 일반적은 섬구조(island structure)로 성장된 반면, 다공질 실리콘에 성장된 ZnO 박막은 산맥과 같은 구조(mountain range-like structure)로 성장되었다. 열처리 온도가 증가함에 따라 ZnO 박막의 grain size는 증가하였다. 실리콘 기판 위에 성장된 ZnO 박막은 wurtzite 구조를 나타내는 여러 개의 회절 피크가 관찰된 반면, 다공질 실리콘에 성장된 ZnO 박막은 c-축 배향성(c-axis preferred orientation)을 나타내는 ZnO (002) 회절 피크만이 나타났다. 다공질 실리콘에 성장된 ZnO 박막의 구조적 및 광학적 특성이 실리콘 기판에 성장된 ZnO 박막의 특성보다 우수하게 나타났다. 뿐만 아니라, 열처리 온도가 증가함에 따라 다공질 실리콘에 성장된 ZnO 박막의 PL 강도비(intensity ratio)가 실리콘 기판에 성장된 ZnO 박막의 강도비보다 월등하게 증가하였다. -
ZnO was grown on a Au-catalyzed Si(100) substrate by using a simple vapor phase transport (VPT) with a mixture of zinc oxide and graphite powders. The ZnO grown at 800
$^{\circ}C$ had a soccer ball structure with diameters of <500 nm. The ZnO soccer ball structure was, for the first time, observed in this work. The optical properties of the ZnO soccer balls were investigated by photoluminescence (PL). In the room-temperature (RT) PL of the ZnO soccer balls, a strong near-band-edge emission (NBE) and a weak deep-level emission were observed at 3.25 and 2.47 eV (green emission), respectively. The weak deep-level emission (DLE) at around 2.47 eV (green emission) is caused by impurities and structural defects. The FWHM of the NBE peak from the ZnO soccer balls was 110 meV. In addition, the PL intensity ratio of the NBE to DLE was about 4. The temperature-dependent PL was also carried out to investigate the mechanism governing the quenching behavior of the PL spectra. -
투명전도성산화물(transparent conducting oxides, TCOs) 박막은 전기 전도성과 광투과성이 우수하여 유기발광다이오드(organic light-emitting diode, OLED), 태양전지(solar cell), 발광다이오드(LED) 등의 광전자 소자에 널리 응용되고 있다. 특히 LED에서 p-GaN층에서 전류가 층안에서 충분하게 확산되지 않기 때문에, TCO는 균일하게 전류를 흘려보내기 위해서 전류확산층(current spreading layer)으로 사용된다. 그 중 널리 쓰이는 산화인듐주석(indium tin oxide, ITO)은 고가의 indium가격과 인체에 유해한 독성 등이 문제점으로 지적되고 있다. 따라서 indium의 함량을 저감하거나 함유하지 않은 새로운 조성의 친환경적 대체 TCO 개발에 대한 연구가 많이 진행되고 있다. 이러한 반도체 재료 중 하나인 AZO (Al-doped zinc oxide, Al2O3 : 2wt.%)는 3.3 eV의 넓은 에너지 밴드갭을 가지며, 가시광선 및 근적외선 파장영역에서 높은 투과율을 나타낸다. 따라서 본 연구에서는 GaN기반 LED 응용을 위한 전류확산층으로 ITO 대신 AZO의 특성을 연구하였다. 박막 증착율이 높고, 제작과정의 조정이 용이한 RF magnetron 스퍼터를 이용하여 glass기판 위에 AZO, Ni/AZO, NiOx/AZO를 증착하였다. 이어서
$N_2$ 분위기에서 다양한 온도 조건에서 열처리(rapid thermal annealing, RTA)하여 전기적 광학적 특성에 대하여 비교 분석하였다. -
Zinc oxide (ZnO) structures have great potential in many applications. Currently, the most commonly used method to grow ZnO nanostructres are the vapor transport method (VPT). The morphology of the ZnO structures largely related to the growth conditions, including growth temperature, distance between the substrate and source, and gas ambient. Previously ZnO nanosturecutres with high crystallinity were obtained at the growth temperature of 800
$^{\circ}C$ , in the argon and oxygen gas ambient. In this study, we report the properties of the ZnO nanostructures, which were synthesized on Au-catalyzed Si substrate by VPT, using a mixture of ZnO and graphite powders as source material under the different condition, including gas ratio of argon/oxygen and distance between substrate and source at the growth temperature of 800$^{\circ}C$ . The structural and optical properties of the ZnO nanostructures were investigated by field-emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), and photoluminescence (PL). -
ZnO는 투명전극, 태양전지, 광전소자, 다이오드, 센서, 산화물 TFT 등에 널리 사용되는 재료로서, hexagonal wurtzite 결정구조, 약 3.37eV 정도의 넓은 밴드갭, 60mV의 여기 바인딩 에너지를 가지는 것으로 알려져있다. 순수한 ZnO 박막은 일반적으로 n-형 특성을 나타내고 있지만, ZnO-based 광전소자 분야에서는 p-형 전도의 부족이라는 큰 단점을 가지고 있으며 광전소자로서의 ZnO의 응용에서 n-형과 p-형 전도는 둘다 필수적이다. 또한 ZnO 박막의 억셉터 농도를 증가시키기 위해서 억셉터(N,P)와 도너(Ga,Al,In)를 동시치환시킨 몇몇 연구가 있어왔다.본 연구에서는 Al과 P를 동시치환시킨 Al0.02-XP0.01+xZn0.970 (x=0, 0.005, 0.01) 조성에서 산소 분압을 변화 시켰을때의 박막의 구조적, 전기적 특성에 대해 관찰하였다. 박막의 경우는 c-plane 사파이어 기판에서 PLD 로 증착시켰다.
-
최근 주목받고 있는 amorphous gallium-indium-zinc-oxide (a-GIZO) thin film transistors (TFTs)는 수소가 첨가된 비정질 실리콘 TFT에 비해 높은 이동도와 뛰어난 전기적, 광학적 특성에 의해 큰 주목을 받고 있다. 또한 넓은 밴드갭을 가지므로 가시광 영역에서 투명한 특성을 보이고, 플라스틱 기판 위에서 구부러지는 성질에 의해 플랫 패널 디스플레이나 능동 유기 발광소자 (AM-OLED), 투명 디스플레이에 응용되고 있다. 뿐만 아니라, 일반적인 Poly-Si TFT는 자체적으로 가지는 결정성에 의해 대면적화 시 균일성이 좋지 못하지만 GIZO는 비정질상 이기 때문에 백플레인의 대면적화에 유리하다는 장점이 있다. 이러한 TFT를 제작하기 전, 전기적 특성에 대한 정보를 얻거나 예측하는 것이 중요한데, 이에 따라 고안된 구조가 바로 metal point contact FET (pseudo FET)이다. pseudo FET은 소스/드레인 전극을 따로 증착할 필요 없이 채널을 증착한 후, 프로브 탐침을 채널의 표면에 적당한 압력으로 접촉시켜 전하를 공급하는 소스와 드레인처럼 동작시킬 수 있다. 따라서 소스/드레인을 증착하거나 lithography와 같은 추가적인 공정을 요구하지 않아 소자의 특성을 보다 간단하고 수월하게 분석할 수 있다는 장점이 있다. 본 연구에서는 p-type 기판위에 100nm의 oxidation SiO2를 게이트 절연막으로 사용하는 a-GIZO pseudo FET를 제작하였다. 소자 제작 후, 열처리 온도에 따른 전기적 특성을 분석하였고, 열처리 조건은 30분간 N2 분위기에서 실시하였다. 열처리 후 전기적 특성 분성 결과, 450oC에서 가장 낮은 subthreshold swing 값과 게이트 전압의 더블 스윕 후 문턱 전압의 변화가 거의 없음을 확인하였다.
-
ZnTe semiconductor is very attractive a material for optoelectronic devices in the visible green spectral region because of it has direct bandgap of 2.26 eV. The prototypes of ZnTe light emitting diodes (LEDs) have been reported [1], showing that their green emission peak closely matches the most sensitive region of the human eye. The optoelectronic properties of ZnTe:O film allow to expect a large optical gain in the intermediate emission band, which emission band lies about 0.4-0.6 eV below the conduction band of ZnTe [2]. So, the ZnTe system is useful for the production of high-efficiency multi-junction solar cells [2,3]. In this work, the ZnTe:O thin films were deposited on Al2O3 substrates by using the radio frequency magnetron sputtering system. Three sets of samples were prepared using argon and oxygen as the sputtering gas. The deposition chamber was pre-pumped down to a base pressure of 10-7 Torr before introducing gas. The deposition pressure was fixed at 10-3 Torr throughout this work. During the ZnTe deposition, the substrate temperature was 300 oC. The optical properties were also investigated by using the ultraviolte-visible (UV-Vis) spectrophotometer.
-
The memories with nano-particles are very attractive because they are promising candidates for low operating voltage, long retention time and fast program/erase speed. In recent, various nano-floating gate memories with metal-oxide nanocrystals embedded in organic and inorganic layers have been reported. Because of the carrier generation in semiconductor, induced photon pulse enhanced the program/erase speed of memory device. We studied photo-induced electrical properties of these metal-oxide nanocrystal memory devices. At first, 2~10-nm-thick Sn and In metals were deposited by using thermal evaporation onto Si wafer including a channel with
$n^+$ poly-Si source/drain in which the length and width are 10${\mu}m$ each. Then, a poly-amic-acid (PAA) was spin coated on the deposited Sn film. The PAA precursor used in this study was prepared by dissolving biphenyl-tetracarboxylic dianhydride-phenylene diamine (BPDA-PDA) commercial polyamic acid in N-methyl-2-pyrrolidon (NMP). Then the samples were cured at 400$^{\circ}C$ for 1 hour in N atmosphere after drying at 135$^{\circ}C$ for 30 min through rapid thermal annealing. The deposition of aluminum layer with thickness of 200 nm was followed by using a thermal evaporator, and then the gate electrode was defined by photolithography and etching. The electrical properties were measured at room temperature using an HP4156a precision semiconductor parameter analyzer and an Agilent 81101A pulse generator. Also, the optical pulse for the study on photo-induced electrical properties was applied by Xeon lamp light source and a monochromator system. -
$SiO_2$ 는 유전체 물질로서 고온에 강하고 열 변화에 민감하지 않으며 자외선을 잘 투과시키는 특성 때문에 각종 광전자 소자에 많이 응용되고 있다. 최근에는 classical thermal oxidation 방식을 이용하여 태양전지의 효율을 증가하기 위한 표면 보호막, 유기발광다이오드의 보호막 및 barrier로 적용되고 있다.$SiO_2$ 박막의 경우 RF-DC sputtering, thermal evaporation, plasma enhanced chemical vapor deposition, E-beam evaporation 등의 다양한 방법을 통하여 제작되고 있다. 이들 중 E-beam evaporation 법은 높은 증착속도, 증착방향성, 낮은 불순물농도 등 많은 장점을 가지고$SiO_2$ 박막 증착이 가증하다. 따라서 본 연구에서는 Si 기판위에$SiO_2$ 를 증착각도를 0$^{\circ}$ , 25$^{\circ}$ , 50$^{\circ}$ , 70$^{\circ}$ 로 변화시켜 증착하였고, 증착속도, 빔 세기, 기판 회전속도 등을 변화시켰다. 또한, 증착 각도에 따른 유전율 차이를 무반사 특성 향상에 응용하기 위해 다양한 layer 층을 순차적으로 성장시켰다. 제작된$SiO_2$ 의 나노구조의 구조적, 광학적 특성은 field emission scanning microscopy, atomic force microscopy, UV-VIS-NIS spectrophotometer를 이용하여 분석되었다. -
We have deposited Al2O3 thin films on Si substrates at room temperature by UV-enhanced atomic layer deposition using trimethylaluminum (TMA) and H2O as precursors with UV light. The atomic layer deposition relies on alternate pulsing of the precursor gases onto the substrate surface and subsequent chemisorption of the precursors. In many cases, the surface reactions of the atomic layer deposition are not completed at low temperature. In this experiment, the surface reactions were found to be self-limiting and complementary enough to yield uniform Al2O3 thin films by using UV irradiation at room temperature. The UV light was very effective to obtain the high quality Al2O3 thin films with defectless.
-
현재의 평판 디스플레이를 대체할 차세대 정보전달 매체로서 플랙서블 디스플레이가 부각되고 있다. 이러한 플렉서블 디스플레이의 발전과 함께 터치스크린 패널 또한 같은 방향으로 연구 개발이 필요하다. 플렉서블 터치 스크린 패널의 연구에서 가장 큰 문제는 ITO의 휨에 따른 깨짐 현상으로 인한 전기적 특성의 저하이다. 이 논문에서는 현재 터치 스크린 패널로 사용되는 ITO/PET 샘플과 ITO/PET 를 패터닝한 그 위에 PEDOT을 증착한 구조를 전기적, 기계적, 광학적 특성을 비교하였다. 실험진행은 샘플의 면저항 및 투과도, hall measurement 측정을 진행하였고, 추가적으로 샘플의 Bending test를 통해 bending 횟수에 따른 박막의 특성을 분석하였다. 측정 결과, ITO/PET 와 PEDOT/patterned ITO/PET 샘플의 면저항은 400
${\Omega}/{\Box}$ , 424${\Omega}/{\Box}$ 로 큰 변화가 없었으며, hall 측정결과 중에서 carrier concentration은 2.8${\times}$ 1,020/cm-3, 4.492${\times}$ 1,021/cm-3로 증가 하였다. 투과도를 측정한 결과 97.6%, 96% 정도로 큰 변화는 없었다. 하지만, bending 횟수에 따른 면저항 특성의 변화 측정 결과 PEDOT/patterned ITO/PET 샘플의 면저항 변화가 기존의 ITO/PET 샘플의 면저항 변화와 비교하여 거의 변화가 없어, 기계적 특성에서 우수한 특성을 확인 할 수 있었다. -
최근 유연 기판을 이용한 태양전지 및 TFT 등 전자소자 개발에 관한 연구가 주목받고 있다. 본 연구에서는 공정 시 유리한 유리기판상 전자소자 제작 후 폴리이미드막 박리를 통한 유연전자 소자 구현을 목적으로 한다. 폴리이미드막 박리를 목적으로 희생층으로서 a-Si:H을 사용하였다. 유리기판상에 60 nm 두께의 a-Si : H을 ICP (Induced coupled plasma) 공정으로 증착한 후 a-Si : H층 상부에 30
${\mu}m$ 두께로 폴리이미드를 코팅하여 Hot plate와 furnace에서 열처리를 거쳤다. 이후 각기 다른 파장을 갖는 레이저의 파워를 가변하며 유리 기판 후면에 조사하였다. 실험 결과 355 nm UV 레이저로 가공한 경우 희생층으로 사용 된 a-Si : H층 내에 존재하는 수소가 레이저 빛 에너지에 의해 결합이 끊어지면서 유리기판과 폴리이미드막이 분리됨을 확인하였다. -
염료감응형 태양전지(Dye-Sensitized Solar Cells:DSSC)는 환경 친화적이며, 저가의 공정에 대한 가능성으로 기존의 고가의 결정질 실리콘 태양전지의 경제적인 대안으로 각광을 받고 있다. 최근 염료감응형 태양전지는 투명 전도성 산화막(Transparent Conducting Oxide : TCO)으로 사용되는 Fluorine Tin Oxide (FTO)가 증착된 유리기판 위에 주로 제작된다. FTO는 낮은 비저항과 가시광선 영역에서 높은 투과도를 가지는 우수한 전기-광학적 특성을 갖지만, 비교적 공정이 까다로운 Chemical Vapor Deposition (CVD)법으로 제조하며, 전체 공정비용의 60%를 차지하는 높은 생산단가로 인해 현재 FTO를 대체할 재료개발 연구가 활발히 진행되고 있다. 그 중 ZnO (Zinc Oxide)는 우수한 전기-광학적 특성과 비교적 저렴한 가격으로 새로운 TCO로써 주목받고 있다. ZnO는 넓은 energy band gap (3.4 [eV])의 육방정계 울자이트(hexagonal wurtzite) 결정 구조를 가지는II-VI족 n형 반도체 물질이며, III족 금속원소인 Al, Ga 및 In 등의 불순물을 첨가하면 TCO로서 우수한 전기-광학적 특성과 안정성을 나타낸다. 이들 물질중
$Zn^{2+}$ (0.060 nm)의 이온반경과 유사한$Ga^{2+}$ 0.062 nm) 이온이 ZnO의 격자반경을 최소화 시킬 수 있다는 장점으로 최근 주목 받고 있다. 하지만 Ga-doped ZnO (GZO)의 경우 DSC에 사용되는 루테늄 계열의 산성 염료 하에 장시간 두면 표면이 파괴되는 문제가 발생하며,$TiO_2$ paste를 Printing 후 열처리하는 과정에서도 박막의 파괴가 발생할 수 있다. 이를 방지하기 위해$TiO_2$ Blocking Layer를 GZO 투명전극 위에 증착하였다. 또한,$TiO_2$ Blocking Layer를 적용한 GZO 박막을 전면전극으로 이용하여 DSC를 제작하여 효율을 확인하였다. 2wt%의$Ga_2O_3$ 가 도핑된 ZnO 박막은 20mTorr 400$^{\circ}C$ 에서 Pulsed Laser Deposition (PLD)에 의해 성장되었고,$TiO_2$ 박막은 Ti 금속을 타겟으로 이용하여 30mTorr 400$^{\circ}C$ 에서 증착되었다. Scanning electron microscopy (FE-SEM)을 이용한 박막 분석 결과$TiO_2$ 가 증착된 GZO 박막의 경우 표면 파괴가 일어나지 않았다. Solar Simulator을 이용하여 I-V특성 측정결과 상용 FTO를 사용한 DSC 수준의 효율을 나타내었다. 이에 따라 Pulsed Laser Deposition을 이용해 제작된 GZO 기판은$TiO_2$ Blocking Layer를 이용하여 표면 파괴를 방지할 수 있었으며, 이는 향후 염료감응형 태양전지의 투명전극에 적용 가능 할 것으로 판단된다. -
투명 비정질 산화물반도체는 디스플레이의 구동소자인 박막 트랜지스터에 채널층으로 사용된다. 또한 투명하면서 유연성이 있는 소자를 저비용으로 제작할 수 있는 장점을 가진다. 투명 산화물반도체 재료 중 IGZO는 Si 또는 GaAs와 같은 공유결합성 반도체와는 다른 전자 배치로 전도대가 금속이온의 ns 궤도에서 형성되며, 가전도대가 산소 음이온의 2p 궤도에서 형성된다. 특히 큰 반경의 금속 양이온은 인접한 양이온과 궤도 겹침이 크게 발생하게 되며 캐리어의 효과적인 이동 경로를 제공해줌으로써 다른 비정질 반도체와는 다르게 높은 전하이동도(~10
$cm^2$ /Vs)를 가진다. 따라서 저온공정에서 우수한 성능의 TFT소자를 제작할 수 있는 장점이 있다. 본 연구에서는 TFT 채널층으로 사용하기 위한 a-IGZO박막의 산소분압에 따른 특성변화를 분석 하였다. a-IGZO박막은 Pulsed Laser Deposition (PLD)를 이용하여 산소분압(20~200 mTorr) 변화에 따라 Glass기판에 증착하였다. 증착된 a-IGZO 박막의 구조적 특성으로는 X-ray diffraction (XRD), Field emission scanning electron microscopy (FE-SEM), 광학적 특성은 UV-vis spectroscopy 분석을 통해서 알아보았다. TFT 채널층의 조건으로는 낮은 off-current, 높은 on-off ratio를 위해 고저항 ($10^3\;{\Omega}cm$ )의 진성반도체 성질과 source/drain금속과의 낮은 접촉저항(ohmic contact) 등의 전기적 성질이 필요하다. 따라서 이러한 전기적 특성확인을 위해 transmission line method (TLM)을 사용하여 접촉저항과 비저항을 측정하였고, 채널층으로 적합한 분압조건을 확인해볼 수 있었다. -
펄스 직류 전원
$BCl_3/SF_6$ 플라즈마를 이용하여 GaAs/$Al_{0.2}Ga_{0.8}As$ 의 선택적 식각을 연구하였다. 식각 주요 공정 변수는$BCl_3/SF_6$ 플라즈마에서$SF_6$ 가스 유량(0~50%)이었다.$BCl_3/SF_6$ 의 총 가스 유량은 20 sccm이었다. 다른 공정 조건인 공정 압력(100 mTorr), 펄스 파워(500 V), 펄스 주파수(200 kHz), 리버스 시간 (0.7${\mu}s$ )은 일정하게 고정시켰으며 기계적 펌프만을 이용하여 공정을 진행하였다. 오실로스코프(Oscilloscope) 데이터에 의하면 가스의 조성 변화에도 척에 걸리는 입력 전압과 전류가 거의 변화가 없었다.$BCl_3/SF_6$ 가스가 10%의 조성에서 GaAs와$Al_{0.2}Ga_{0.8}As$ 의 식각 선택비가 약 48 : 1로 우수한 결과를 나타내었다. 그러나$BCl_3/SF_6$ 가스의 증가는 GaAs의 식각율과 선택도를 감소시켰다. 그리고$SF_6$ 가스의 조성비가 30% 이상일 경우에는 GaAs와$Al_{0.2}Ga_{0.8}As$ 가 식각되지 않았다. 식각 후에 GaAs의 표면 거칠기(RMS surface roughness)는 0.7~1.3 nm로 나타났다. 위의 결과들을 종합적으로 보면 펄스 직류 전원$BCl_3/SF_6$ 의 조성비가 10%일 때 가장 좋은 식각 선택비를 얻을 수 있었다. -
Flexible electronics, a future technology of electronics, require a low cost integrated circuit that can be built on various types of the flexible substrates. As a potential candidate for this application, a single walled carbon nanotube network is studied as an active device with a scheme of thin film transistor. Transistors are formed on a plastic foil by the Roll-to-Roll (R2R) and the Roll-to-Device (R2D) printing method. For both printing methods, electrical transports for the transistors are presented with the temperature dependence of threshold voltage (V_Th) and mobility from the measured transfer curves at temperatures ranging from 10 K to 300 K. It is observed that
${\mu}=0.044cm^2/V{\cdot}sec$ and V_Th=7.28V for R2R and${\mu}=0.025cm^2/V{\cdot}sec$ and V_Th=3.10V for R2D, both for the temperature at 300K. Temperature dependence of mobility and V_Th is observed. However for R2R, the temperature dependence of V_Th is constant. It is the difference between, R2R and R2D. -
1T-1C로 구성되는 기존의 dynamic random access memory (DRAM)는 데이터를 저장하기 위해 적절한 커패시턴스를 확보해야 한다. 따라서 커패시터 면적으로 인한 집적도의 한계에 직면해있으며, 이를 대체하기 위한 새로운 DRAM인 1T- DRAM이 연구되고 있다. 기존의 DRAM과 달리 silicon-on-insulator (SOI) 기술을 이용한 1T-DRAM은 데이터 저장을 위한 커패시터가 요구되지 않는다. 정공을 채널의 중성영역에 축적함으로서 발생하는 포텐셜 변화를 이용하며, 이때 발생하는 드레인 전류차를 이용하여 '0'과 '1'을 구분한다. 기존의 완전공핍형 평면구조의 1T-DRAM은 소스 및 드레인 접합부분에서 발생하는 누설전류로 인해 '0' 상태의 메모리 유지특성이 열화되는 단점을 가지고 있다. 따라서 메모리의 보존특성을 향상시키기 위해 소스/드레인 접합영역을 줄여 누설전류를 감소시키는 구조를 갖는 1T-DRAM의 연구가 필요하다. 또한 고유전율을 가지는 Si3N4를 이용한 oxide-nitride-oxide (ONO)구조의 게이트 절연막을 이용하면 동일한 두께에서 더 낮은 equivalent oxide thickness (EOT)를 얻을 수 있기 때문에 보다 저 전압에서 1T-DRAM 동작이 가능하여 기존의 SiO2 단일층을 이용한 1T-DRAM보다 동일 전압에서 더 큰 sensing margin을 확보할 수 있다. 본 연구에서는 누설전류를 감소시키기 위하여 소스 및 드레인이 채널위로 올려진 recessed channel 구조에 ONO 게이트 절연막을 적용한 1T-DRAM을 제작 및 평가하고, 본 구조의 1T-DRAM적용 가능성 및 ONO구조의 게이트 절연막을 이용한 sensing margin 개선을 확인하였다.
-
자발형성법으로 InP (001) 기판에 성장한 InAs/InAlGaAs 양자점(QDs: quantum dots)의 광학적 특성을 PL (photoluminescence)와 TRPL (time-resolved PL)을 이용하여 분석하였다. InAs QDs 시료는 single layer InAs QDs (QD1)과 7-stacked InAs QDs (QD2)를 사용하였다. 두 시료 모두 저온 (10 K)에서 1,320 nm에서 PL 피크가 나타나고, 온도가 증가함에 따라 PL 피크는 적색편이 (red-shift)를 보였다. 양자점의 온도를 10 K에서 300 K까지 증가하였을 때 QD1은 178 nm 적색편이 하였으며, PL 스펙트럼 폭은 온도가 증가함에 따라 증가하였다. 그러나 QD2는 264 nm 적색편이를 보였으며 PL 스펙트럼의 폭은 QD1 시료와 반대로 온도가 증가함에 따라 감소하였다. QD2의 아주 넓은 PL 스펙트럼 폭과 매우 큰 적색편이는 InAs 양자점 크기의 변화가 QD1에 비해 훨씬 크기 때문이다. QD2의 경우 InAs 층수(layer number)가 증가함에 따라 InAs QD의 크기가 점차 증가하므로 QD 크기의 변화가 single layer인 QD1 시료보다 훨씬 크다. QD1의 PL 소멸은 파장이 증가함에 따라 점차 느려지다가 PL 피크 근처에서 가장 느린 소멸 곡선을 보이고, 파장이 더 증가하였을 때 PL 소멸은 점차 빠르게 소멸하였다. 그러나 QD2의 PL 소멸곡선은 파장이 증가함에 따라 점차 빠르게 소멸하였다. 이것은 QD2는 양자점 크기의 변화가 매우 크기 때문에 (lateral size=18~29 nm, height=2.8~5.9 nm) 방출파장이 증가함에 따라 양자점 사이의 파동함수의 겹침이 증가하여 캐리어의 이완이 증가하기 때문으로 설명된다. 온도에 따른 TRPL 결과는 두 시료 모두 10 K에서 150 K 까지는 소멸시간이 증가하였고, 150 K 이후부터는 소멸시간이 감소하였다. 온도가 증가함에 따라 소멸시간이 증가하는 것은 양자점에서 장벽과 WL (wetting layer)로 운반자(carrier)의 이동, 양자점들 사이에 열에 의해 유도된 운반자의 재분배 등으로 인한 발광 재결합으로 설명할 수 있다. 150 K 이상에서 소멸시간이 감소하는 것은 열적효과에 의한 비발광 재결합 과정에 의한 운반자의 소멸이 증가하기 때문이다. 온도에 따른 TRPL 결과는 두 시료 모두 150 K까지는 발광재결합이 우세하고, 150 K 이상에서 비발광재겹합이 우세하게 나타났다.
-
질화갈륨(GaN)은 높은 전자이동도 및 높은 항복전계를 가지며 낮은 온저항으로 인하여 에너지효율이 우수하기 때문에 고출력 전력소자 분야에서 많은 관심을 받고 있다. GaN을 이용한 고출력 전력소자의 경우 상용화 수준에 근접할 만한 기술적 진보가 있었으나, 페르미 레벨 고정(Fermi-level pinning) 현상, 소자의 누설전류 등 아직 해결되어야 할 문제를 갖고 있다. 본 연구에서는 실리콘 기판 위에 성장된 GaN 에피탁시를 활용한 고출력 전력소자의 누설전류를 억제시키기 위해 오믹 접합 중 Au의 상호확산을 억제하는 중간층 금속(Mo or Ni)을 변화시켰으며 오믹 열처리 온도에 따른 특성을 비교 연구하였다.
$Cl_2$ 와$BCl_3$ 를 이용하여 0.6${\mu}m$ 깊이의 메사 구조가 활성영역을 형성하였고, Si 도핑된 n-GaN 위에 Ti/Al/Mo/Au (20/100/25/200 nm) 와 Ti/Al/Ni/Au (20/100/25/200 nm) 오믹 접합을 각각 설계, 제작하였다. 오믹 열처리시의 GaN 표면오염을 방지하기 위해$SiO_2$ 희생층을 증착하였다. 오믹 접합 형성을 위해 각 750$^{\circ}C$ , 800$^{\circ}C$ , 850$^{\circ}C$ 에서 30초간 열처리를 진행 하였으며, 이후 6 : 1 BOE 용액으로$SiO_2$ 희생층을 제거하였다. 750, 800, 850$^{\circ}C$ 에서 Ti/Al/Mo/Au 구조의 오믹 접합 저항은 각 2.56, 2.34, 2.22${\Omega}$ -mm 이었으며, Ti/Al/Ni/Au 구조의 오믹 접합 저항은 각 43.72, 2.64, 1.86${\Omega}$ -mm이었다. Isolation 누설전류를 측정하기 위해서 두 개의 오믹 접합 사이에 메사 구조가 있는 테스트 구조를 제안하였다. Isolation 누설전류는 Ti/Al/Mo/Au 구조에서 두 오믹 접합 사이의 거리가 25${\mu}m$ 이고 100 V일 때 750, 800, 850$^{\circ}C$ 의 열처리 온도에서 각 1.25 nA/${\mu}m$ , 2.48 nA/${\mu}m$ , 8.76 nA/${\mu}m$ 이었으며, Ti/Al/Ni/Au 구조에서는 각 1.58 nA/${\mu}m$ , 2.13 nA/${\mu}m$ , 96.36 nA/${\mu}m$ 이었다. 열처리 온도가 증가하며 오믹 접합 저항은 감소하였으나 isolation 누설전류는 증가하였다. 750$^{\circ}C$ 열처리에서 오믹 접합 저항은Ti/Al/Mo/Au 구조가 Ti/Al/Ni/Au 구조보다 약 17배 우수하였고, 850$^{\circ}C$ 고온의 열처리 경우 Ti/Al/Mo/Au 구조의 isolation 누설전류는 8.76 nA/${\mu}m$ 로 Ti/Al/Ni/Au의 누설전류 96.36 nA/${\mu}m$ 보다 약 11배 우수하였다. Ti/Al/Mo/Au가 Ti/Al/Ni/Au 보다 오믹 접합 저항과 isolation 누설전류 측면에서 전력용 GaN 소자에 적합함을 확인하였다. -
GaAs (001) 기판에 MBE를 이용하여 자발형성법으로 성장한 InAs 양자점(QDs: quantum dots)의 광학적 특성을 PL (photoluminescence)과 TRPL (time-resolved PL)을 이용하여 분석하였다. InAs 양자점 성장 동안 In 공급은 계속하면서 As 공급을 주기적으로 차단과 공급을 반복하면서 성장하였다. As 차단과 공급을 1초, 2초, 그리고 3초씩 하면서 InAs 양자점을 성장하였다. 기준시료는 In과 As 공급을 중단하지 않고 20초 동안 성장하였다. As interruption mode로 성장한 시료들의 QD density는 기준시료에 비해 증가하였으며, size distribution도 기준시료에 비해 향상되었다. 기준시료와 비교하였을 때, As interruption mode로 성장한 시료들의 PL 피크는 적색이동 (red-shift)를 보였으며, PL 세기는 2배 이상 증가하였다. PL 소멸곡선은 파장이 증가함에 따라 점차 느려지다가 PL 피크에서 가장 느린 소멸을 보인 후 다시 점차 빠르게 소멸하였다. 시료의 온도를 10 K에서 60 K까지 증가하였을 때 PL 피크 에너지는 변하지 않았으며, PL 소멸시간은 서서히 증가함을 보였다. 온도를 더 증가하였을 때 PL 피크 에너지는 적색이동 하였으며 PL 소멸시간도 빠르게 감소함을 보였다. As interruption mode로 성장한 양자점 시료의 구조적 특성 변화에 의한 광학적 특성 변화를 확인하였다.
-
티타늄-알루미늄(Titanium-Aluminum) 질화물(Nitride)은 고경도 난삭재의 고능률 절삭 분야에 사용되는 공구의 수명 향상을 위한 표면처리 소재로 각광을 받고 있다. 건식고속가공을 효과적으로 수행하기 위해서는 코팅막 재료가 가공 중 발생하는 고온에서도 견디는 우수한 내산화성을 지니면서 내마모, 내충격 특성등의 기계적 성질이 우수한 코팅을 필요로 하며 이러한 분야에 TiAlN을 적용하기 위한 많은 연구가 진행되고 있다. 본 연구에서는 아크(Cathodic Arc) 코팅을 시스템을 이용하여 N2 유량변화에 따라 TiAlN 박막을 제조하고 그 특성을 평가하였다. 아크 소스에 장착된 타겟은 120
$mm{\Phi}$ , Ti : Al=50 : 50 at% 의 TiAl 타겟을 사용 하였고, 시편과 타겟 간의 거리는 약 30 cm이며, 시편은 SUS를 사용하였다. 시편을 진공용기에 장착하고 ~10-6 Torr까지 진공배기를 실시하고, Ar 가스를 진공용기 내로 공급하여 ~10-4 Torr에서 시편에 bias (Pulse : 400V)를 인가한 후 아크를 발생시켜 약 5분간 청정을 실시하였다. 플라즈마 청정이 끝나면 시편에 인가된 bias를 차단하고 N2 유량을 변화시키며 코팅을 실시하였다. 질소 유량이 증가함에 따라 색상은 회색에서 어두운 보라색으로 변화하였고 SEM 사진을 통해 Micro paticle 이 감소하는 것을 확인 할 수 있었으며 이는 질소유량이 증가 할수록 표면조도 또한 감소하는 분석결과와도 일치하였다. XRD 분석을 통해 질소 유량이 160 sccm 이상에서 TiAlN이 합성되는 것을 볼 수 있었고 질소 유량이 240 sccm일 때 가장 높은 경도를 보였다. 따라서 본 연구에서 얻어진 결과를 바탕으로 더욱 다양한 조건에서 TiAlN 코팅에 응용한다면 다양한 색상 구현과 내마모성 등에서 많은 장점을 얻을 수 있을 것으로 예상된다. -
전이금속(transition metal) 질화물(nitride)은 높은 경도, 내마모성, 부식 저항성 그리고 내열성 등과 같은 우수한 기계적 물성 때문에 많은 연구가 되어 왔다. 이 중 질화 티타늄은 높은 경도, 내식 및 내마모의 우수한 기계적 특성으로 공구(tool)와 같은 제품의 수명 향상을 위한 표면 코팅소재로 사용되어 왔으며, 금(gold)색의 미려한 색상을 이용한 제품의 외관 표면처리와 인체에 무해한 특성을 활용한 정형외과 및 치과용 보형물의 수명 및 안정성 향상 등 다양한 분야에 응용 되고 있다. 본 연구에서는 아크방전을 이용한 경사 코팅법으로 질화 티타늄을 합성하였으며, 경사 코팅에 따른 단층 및 다층 박막(2~3 layer)의 미세조직 변화와 그 물성을 평가하였다. 아크 소스에 장착된 타겟은 120
$mm{\Phi}$ , 99.5%의 Ti 타겟을 사용하였고, 시편과 타겟 간의 거리는 약 30 cm이며, 시편은 냉연강판과 SUS를 사용하였다. 시편을 진공용기에 장착하고 ~10-6 Torr까지 진공배기를 실시하고, Ar 가스를 진공용기 내로 공급하여 ~10-4 Torr에서 시편에 bias (Pulse : 400 V)를 인가한 후 아크를 발생시켜 약 5분간 청정을 실시하였다. 플라즈마 청정이 끝나면 시편에 인가된 bias를 차단하고 코팅하였다. 경사 코팅을 위한 시편의 회전각은 45$^{\circ}$ , -45$^{\circ}$ 이며, 질화 티타늄의 두께는 약 3${\mu}m$ 로 동일하게 코팅 하였다. 45$^{\circ}$ 단일층의 경우 0$^{\circ}$ 단일층보다 경도가 감소하나 zigzag 구조의 다층으로 갈수록 45$^{\circ}$ 단일층과 비교하여 확연히 경도가 증가함을 볼 수 있었다. 다층 질화 티타늄의 경사 코팅을 통해 박막의 미세조직 변화를 SEM 이미지를 통해 확인하였으며 증착 방식에 따라 경도, 조도, 반사도 등의 물성 변화가 나타났다. 본 연구에서 얻어진 결과를 이용하여 다양한 형태의 박막구조 제어를 통한 물성변화가 가능할 것으로 예상된다. -
본 논문에서는 W-N 확산 방지막을 각각 다른 질소 유입 조건 (0 sccm, 0.5 sccm, 1 sccm) 하에 Si (Silicon) (100) 기판 위에 rf (radio-frequency) magnetron sputter를 이용하여 증착하였다. 증착된 박막은 800
$^{\circ}C$ 에서 열처리하였고, 이때 각각의 W-N 확산 방지 막의 열적 안정성을 분석하였다. 기존 W-N박막의 분석은 X-ray diffraction (XRD)와 같은 분광학적 방법을 사용하여 분석하였으나, 이는 점점 미세화 되어가는 반도체 산업의 최근 동향에는 적합하지 않다. 따라서 이번 실험에서는 박막 국부적인 영역에서 nano scale의 분석이 가능한 nano indentation을 이용하여 분석하였다. 본 연구에서는 열적 안정성을 분석하기 위하여 각각 열처리 온도가 다른 박막의 stress 분포를 XRD와 AFM를 이용하여 구한 격자상수로 먼저 박막 전체적인 영역을 분석하였다. 박막의 국부적인 영역은 앞서 언급하였던 nano indentation을 이용하여 stress 분포를 분석하였다. 실험 결과, 표면의 RMS roughness는 3.6에서 1.4 nm으로 변하였으며, 박막은 미열처리에서 열처리 온도의 증가 시 보다 tensile stress를 많이 받는 것으로 분석하였다. -
무기물 나노입자를 포함하는 유기물/무기물 나노복합체는 차세대 전자 소자에 쉽게 적용이 가능하고 응용 잠재적 능력이 뛰어나기 때문에 차세대 비휘발성 메모리 소자에 응용하려는 연구가 세계적으로 활발히 진행되고 있다. 본 연구에서는 poly (methylmethacrylate) (PMMA) 절연성 고분자 박막 안에 CdTe와 CdTe-CdSe 코어-쉘 나노입자를 각각 분산시켜 이를 전하의 저장 매체로 사용하는 메모리 소자를 제작하였다. 제작된 각각의 소자에 대한 메모리 메카니즘과 PMMA 박막 안에 분포되어 있는 CdTe-CdSe 코어-쉘 나노입자에서 CdSe 쉘의 전기적 영향에 대하여 연구하였다. 소자에 필요한 용액을 제작하기 위해 서로 다른 용매에 녹아 있는 CdTe-CdSe 나노입자와 PMMA를 혼합하였다. Al 금속을 하부 전극으로 증착한 p-Si (100) 기판 위에 나노입자와 PMMA가 혼합된 용액을 스핀 코팅 방법을 사용하여 박막을 형성한 후, 남아있는 용매를 제거하기 위해 열처리를 하였다. 용매가 모두 제거된 박막위에 금속 마스크를 사용하여 상부 Al 전극을 열증착 방법으로 형성하였다. 나노입자가 포함된 고분자 박막의 메모리 특성을 비교하기 위하여 나노입자가 없는 PMMA층만으로 형성된 소자도 같은 방법으로 제작하였다. 세 가지 종류의 소자에 고주파 정전용량-전압 (C-V) 측정을 한 결과 나노입자가 분산된 PMMA 층으로 제작된 소자에서만 평탄 전압 이동이 관찰되었으며, 이것은 나노입자를 전하 포획 장소로 사용할 수 있다는 것을 확인하였다. 정전용량-시간 (C-t) 측정을 하여 나노입자가 포함된 PMMA 층으로 제작된 메모리 소자의 안정성을 관찰하였다. C-V와 C-t 측정 자료를 바탕으로 제작된 메모리 소자의 메모리 메카니즘과 CdTe-CdSe 코어-쉘 나노입자에서 CdSe 쉘의 역할을 설명하였다.
-
현재 사용되고 있는 플로팅 게이트를 이용한 플래시 메모리 소자는 비례축소에 의해 발생하는 단 채널 효과, 펀치스루 효과 및 소자간 커플링 현상과 같은 문제로 소자의 크기를 줄이는데 한계가 있다. 이러한 문제를 해결하기 위하여 silicon nitride와 같은 절연체를 전자의 트랩층으로 사용하는 charge trap flash (CTF) 메모리 소자에 대한 연구가 활발히 진행되고 있다. CTF 메모리 소자의 전기적 특성에 대한 연구는 활발히 진행 되었지만, 수치 해석 모델을 사용하여 메모리 소자의 전하수송 메커니즘을 분석한 연구는 매우 적다. 본 연구에서는 수치 해석 모델을 적용하여 개발한 시뮬레이터를 사용하여 CTF 메모리 소자의 프로그램 동작 시 전하수송 메커니즘에 대한 연구를 하였다. 시뮬레이터에 사용된 모델은 연속방정식, 포아송 방정식과 Shockley-Read-Hall 재결합 모델을 수치해석적 방법으로 계산하였다. 또한 CTF 소자 프로그램 동작 시 트랩 층으로 주입되는 전자의 양은 Wentzel-Kramers-Brillouin 근사 법을 이용하여 계산하였다. 트랩 층에 트랩 되었던 전자의 방출 모델은 이온화 과정을 사용하였다. 게이트와 트랩 층 사이의 터널링은 Fowler-Nordheim (FN) tunneling 모델, Direct tunneling 모델, Modified FN tunneling 모델을 적용하였다. FN tunneling 만을 적용했을때 보다 세가지 모델을 적용했을 때가 더 실험치와의 오차가 적었다. 그 이유는 시뮬레이션 결과를 통해 인가된 전계에 의해 Bottom Oxide 층의 에너지 밴드 구조가 변화하여 세가지 tunneling 모델의 구역이 발생하는 것을 확인 할 수 있었다. 계산된 결과의 전류-전압 곡선을 통해 CTF 메모리 소자의 프로그램 동작 특성을 관찰하였다. 트랩 층의 전도대역과 트랩 층 내부에 분포하는 전자의 양을 시간에 따라 계산하여 트랩 밀도가 시간이 지남에 따라 일정 값에 수렴하고 많은 전하가 트랩 될 수록 전하 주입이 줄어듬을 관찰 하였다. 이와 같은 시뮬레이션 결과를 통해 CTF 메모리의 트랩층에서 전하의 이동에 대해 더 많이 이해하여 CTF 소자가 가진 문제점 해결에 도움을 줄 것이다.
-
유기물/무기물 나노복합체를 이용하여 제작한 비휘발성 메모리 소자는 저전력 구동과 간단한 공정과 같은 장점 때문에 많은 연구가 진행되고 있다. 무기물 나노 입자를 포함한 고분자 박막을 사용한 비휘발성 메모리 소자에 대한 연구는 많이 진행되었으나, [6,6]- phenyl-C85 butyric acid methyl ester (PCBM) 나노 입자가 고분자 박막에 분산되어 있는 나노복합체를 사용하여 제작한 메모리 소자의 전기적 특성과 안정성에 대한 연구는 미흡하다. 본 연구에서는 PCBM 나노 입자가 poly (methylmethacrylate) (PMMA) 박막 안에 분산되어 있는 나노복합체를 사용한 메모리 소자를 제작하여 전기적 특성 및 안정성에 대하여 관찰하였다. PCBM 나노 입자를 PMMA와 함께 용매인 클로로벤젠에 용해한 후에 초음파 교반기를 사용하여 두 물질을 고르게 섞었다. 전극이 되는 indium-tin-oxide 가 성장된 유리 기판 위에 PCBM과 PMMA가 섞인 용액을 스핀 코팅한 후, 열을 가해 용매를 제거하여 PCBM입자가 PMMA에 분산되어 있는 나노복합체 박막을 형성하였다. 형성된 나노복합체 박막 위에 상부 전극으로 Al을 열증착하여 비휘발성 메모리 소자를 완성하였다. 제작된 소자의 전류-전압 측정 결과는 큰 ON/OFF 전류비율을 보여주었다. PCBM 나노입자를 포함하지 않은 소자에서는 메모리 특성이 나타나지 않았기 때문에 PCBM 나노 입자가 비휘발성 메모리 소자의 기억 특성을 나타내는 저장매체가 됨을 알 수 있었다. 전류-시간 측정 결과는 소자의 ON/OFF 전류 비율이 시간이 지남에 따라 큰 감쇠 현상 없이 104 sec 까지 지속적으로 유지됨을 알 수 있었다. 제작된 각각의 메모리 소자의 ON/OFF 전류 비율 결과는 103 이상의 일정한 값이 측정되어 제작된 소자의 안정성을 보여주었다.
-
전극에 응용할 수 있는 소재 중 탄소나노소재는 구리와 비슷한 전기 전도성을 가지며 박막 코팅 시 투명성이 보장되고 코팅력이 매우 우수하다. 하지만 현재 다양한 분야에 응용되고 있는 투명전극 소재인 Indium tin oxide (ITO)를 대체하기에는 아직 이른 실정이다. 또 다른 투명전극 응용 소재인 silver nanowire는 전기 전도성이 우수한 반면 투명 전극으로서 두께가 두꺼워질수록 Haze 발생과 기판과의 부착력, 박막형성 뒤의 내구성 문제가 있다. 본 연구에서는 상기 두 재료를 결합하여 복합 전극을 만들어 두 재료의 복합 비율에 따른 투명성과 전기 전도성을 비교하였다.
-
진공증착법으로 ITO (indium-tin-oxide) 기판 위에
$AgGaSe_2$ 박막을 성장시켜 그 구조와 광학적 특성을 조사하였다. X-선 회절 분석에 의하여 살창상수는 a=5.97${\AA}$ 와 c=10.88${\AA}$ 이고, 황동광(chalcopyrite) 구조를 하고 있었으며, 그 성장 방향은 (112)방향으로 선택 성장됨을 알 수 있었다. 증착된 박막과 200~400$^{\circ}C$ 로 열처리한 박막의 실온에서 측정한 광학적인 에너지 띠 간격은 2.02 eV에서 2.28 eV까지 변하였다. 또한 열린회로로 구성되어 있는 시료의 표면에 광 펄스를 주입하여 표면에서 형성된 전하들의 거동을 광유기 방전 특성(PIDC) 방법을 이용하여 조사하였다. 초기전위 V0로 형성된 시료의 양단을 주행하는 운반자 농도, 전류밀도 및 전기장 효과를 관찰하여 운반자의 주행시간, 이동도 그리고 전하운반자 농도를 계산한 결과는 각각 42${\mu}s$ ~81${\mu}s$ ,$1.9{\times}10^{-1}\;cm^2/Vs$ ~$5.7{\times}10^{-2}\;cm^2/Vs$ 그리고 약$6.0{\times}10^{17}/cm^3$ ~$2.0{\times}10^{18}/cm^3$ 이었으며, p-형 전도를 나타내었다. 원자 힘 현미경 실험으로 제곱평균제곱근 거칠기와 입계크기를 조사하였으며, X-선 광전자 분광실험으로 원소들의 결합상태를 관찰하였다. -
디스플레이의 기술발전에 의해 대면적 고해상도의 LCD가 제작되어 왔다. 이에 따라 LCD 점등검사를 위한 Probe Unit의 기술 또한 급속도로 발전하고 있다. 고해상도에 따라 TFT LCD pad가 미세피치화 되어가고 있으며, panel의 검사를 위한 Probe 또한 30 um 이하의 초미세피치를 요구하고 있다. 따라서, 초미세 pitch의 LCD panel의 점등검사를 위한 Probe Unit의 개발이 시급하가. 본 연구에서는 30 um 이하의 미세피치의 Probe block을 위한 Slit wafer의 식각 공정 조건을 연구하였다. Si 공정에서 식각율과 식각깊이에 따른 profile angle의 목표를 설정하고, 식각조건에 따라 이 두 값의 변화를 관측하였다. 식각실험으로 Si DRIE 장비를 이용하여, chamber 압력, cycle time, gas flow, Oxygen의 조건에 따라 각각의 단면 및 표면을 SEM 관측을 통해 최적의 식각 조건을 찾고자 하였다. 식각율은 5um/min 이상, profile angle은
$90{\pm}1^{\circ}$ 의 값을 목표로 하였다. 이 때 최적의 식각조건은 Etching : SF6 400 sccm, 10.4 sec, passivation : C4F8 400 sccm, 4 sec의 조건이었으며, 식각공정의 Coil power는 2,600 W이었다. 이러한 조건의 공정으로 6 inch Si wafer에 공정한 결과 균일한 식각율 및 profile angle 값을 보였으며, oxygen gas를 미량 유입함으로써 식각율이 균일해짐을 알 수 있었다. 결론적으로 최적의 Slit wafer 식각 조건을 확립함으로써 Probe Unit을 위한 Pin 삽입공정 또한 수율 향상이 기대된다. -
수소 중성입자빔을 이용한 silicon etching은 기존의 silicon etching 공정 가스(Fluorine이나 Chlorine 계열의 가스) 사용 시 배출되는 유해 가스로 인한 지구 온난화 방지 및 폐기물 처리에 추가적인 비용이 발생하지 않는 친환경 etching 공정이다. 본 연구에 사용된 수소 중성입자빔을 발생시키기 위한 플라즈마 소스는 낮은 압력에서 높은 플라즈마 밀도를 발생시킬 수 있는 ECR 플라즈마 소스를 사용하였으며 중성입자빔의 에너지를 조절할 수 있는 중성화판과 플라즈마로부터의 전하손상을 방지할 수 있어 charge free 공정을 가능하게 하는 Limiter로 구성되어 있다. 본 연구에서는 플라즈마 밀도, 공정 압력 그리고, 중성입자빔의 에너지를 조절하여 수소 중성입자빔을 이용한 poly-crystal silicon과 a-Si:H 간의 etch rate와 etching selectivity를 관찰하였다.
-
본 연구는 LED 등기구에서 방열코팅소재에 따른 방열 효과를 연구하여 LED 등기구를 안정적으로 유지 제어 할 수 있는 방법을 찾고자 한다. 그에 따라 동일한 기구물에서 방열코팅만 변화하여 LED chip 온도의 Steady State 온도를 비교 측정하였다. 방열코팅은 동일한 알루미늄 Heat Sink에 일반분체코팅, Anodizing 처리, 알루미나 방열도료, Graphene이 섞인 알루미나도료, Graphene 잉크 등의 방열코팅소재를 아무 처리를 하지 않은 알루미늄 Heat Sink 와 비교 하였다. 온도는 LED chip, LED base plate, 코팅이 된 표면, 코팅 내부 2 mm 부분의 온도를 각각 Steady State가 될 때까지 측정하였다. 또한 LED의 power를 7 watt에서 13 watt 변화하면서 방열 원리를 분석하였다. 본 연구를 통하여 각 코팅소재에 따른 방열효과와 그 방열 원리를 연구 분석하였다.
-
We fabricated the devices of TFT type with the amorphous chalcogenide channel. A single device consists of a Pt source and drain, a Ti glue layer and a GeSe chalcogenide channel layer on SiO2/Si substrate which worked as the gate. We confirmed the drain current with variations of gate bias and channel size. The I-V curves of the switching device are shown in Fig. 1. The channel of the device always contains amorphous state, but can be programmed into two states with different threshold voltages (Vth). In each state, the device shows a normal Ovonic switching behavior. Below Vth (OFF state), the current is low, but once the biasing voltage is greater than Vth (ON state), the current increases dramatically and the ON-OFF ratio is about 4 order. Based on the experiments, we contained the conclusion that the gate voltage can enhance the drain current, and the electric field by the drain voltage affects the amorphous-amorphous transition. The switching device always contains the amorphous state and never exhibits the Ohmic behavior of the crystalline state.
-
Low power consuming operation of phase-change random access memory (PRAM) can be achieved by confining the switching volume of phase change media into nanometer scale. Ge2Sb2Te5 (GST) is one of the best materials for the phase change random access memory (PRAM) because the GST has two stable states, namely, high and low resistance values, which correspond to the amorphous and crystalline phases of GST, respectively. However, achieving the fast operation speed at lower current requires an alternative chalcogenide material to replace the GST and shrinking the dimension of programmable volume. In this paper, we have fabricated nanoscale contact area on Ge2Sb2Te5 thin films with trimming process. The GST material was fabricated by melt quenching method and the GST thin films were deposited with thickness of 100 nm by the electron beam evaporation system. As a result, the reset current can be safely scaled down by reducing the device contact area and we could confirmed the phase-change characteristics by applying voltage pulses.
-
본 연구에서는 XRR 측정에 있어 박막두께 표준보급을 하기 위하여 표준물질을 이온빔 스퍼터링 증착법을 이용하여 제작하였다. 시편제작 시 공기 중 노출에 의해 산화가 되지 않는 산화물 박막과 산화물 기판을 선택하였다. 기판 및 타겟물질 등을 변화시키면서 제작된 시편의 특성을 살펴보았다. 사용된 타겟 물질로는 HfO2, Ta2O5, Cr2O3를 사용하였으며, 기판으로는 glass, sapphire, quartz, SiO2(1
${\mu}m$ -thermal oxidation)를 사용하였다. 산화물 타겟을 사용하여 증착 시 타겟 주위로 생기는 전하들의 charge build-up 되는 현상은 neutralizer를 사용함으로써 문제를 해결하였다. 제작된 시편은 XRR을 이용하여 측정하였고, XRR simulation과 curve fitting을 통하여 박막의 두께, 표면 및 계면의 거칠기, 밀도를 평가하였다. 기판으로 사용된 glass, quartz는 타겟 물질과 관계없이 표면 거칠기가 좋지 않아 XRR 반사율이 급격히 떨어지면서 측정되는 각도의 영역이 작아졌다. sapphire로 제작한 시편에서는 측정된 데이터와 simulation의 curve fitting이 양호하지 않았다. 이 문제는 현재 조사중에 있다. SiO2 기판으로 제작한 시편의 경우 타겟 물질과 관계없이 XRR curve fitting 결과가 양호 하였다. 그 중 Cr2O3의 결과가 다른 타겟 물질에 비해 x 2 값이 작았고 반사율 곡선에서의 거칠기와 진폭도 양호하였다. 위 연구결과로써 SiO2 기판을 사용한 Cr2O3 타겟 물질로 제작된 시편이 XRR 박막 두께 표준물질로써 적합한 것으로 판단된다. -
Ion sensitive field effect transistor (ISFET)는 용액 중의 각종 이온 농도를 측정하는 반도체 이온 센서이다. ISFET는 작은 소자 크기, 견고한 구조, 즉각적인 반응속도, 기존의 CMOS공정과 호환이 가능하다는 장점이 있다. ISFET의 기본 구조는 기존의 metal oxide semiconductor field effect transistor (MOSFET)에서 고안되었으며, ISFET는 기존의 MOSFET의 게이트 전극 부분이 기준전극과 전해질로 대체되어진 구조를 가지고 있다. ISFET소자의 pH 감지 메커니즘은 감지막의 표면에서 pH용액의 수소이온이 막의 표면에 속박되어 표면전위의 변화를 유발하는 것에 기인한다. 그 결과, 수소이온의 농도에 따라 ISFET의 문턱전압의 변화를 일으키게 되고 드레인 전류의 양 또한 달라지게 된다. 한편, ISFET의 좋은 pH감지특성과 높은 출력특성을 얻기 위하여 high-k물질들이 감지막으로써 지속적으로 연구되어져 왔다. 그 중 Al2O3와 HfO2는 높은 유전상수와 좋은 pH 감지능력으로 인하여 많은 연구가 이루어져온 물질이다. 하지만 HfO2는 높은 유전상수를 갖음에도 불구하고 화학용액에 대한 non-ideal 효과에 취약하다는 보고가 있다. 반면에 Al2O3의 유전상수는 HfO2보다 작지만 화학용액으로 인한 손상에 대하여 강한 immunity가 있는 재료이다. 본 연구에서는, 이러한 각각의 high-k 물질들의 단점을 보안하기 위하여 SiO2/HfO2/Al2O3(OHA) 적층막을 이용한 ISFET pH 센서를 제작하였으며 SOI 기판에서 구현되었다. SOI기판에서 OHA 적층막을 이용한 ISFET 제작이 이루어짐에 따라서 소자의 signal to noise 비율을 증대 시킬것으로 기대된다. 실제로 SOI-ISFET와 같이 제작된 SOI-MOSFET는 1.8
${\times}$ 1010의 높은 on/off 전류 비율을을 보였으며 65 mV/dec의 subthreshold swing 값을 갖음으로써, 우수한 전기적 특성을 보이는 ISFET가 제작이 되었음을 확인 하였다. OHA 감지 적층막의 각 층은 양호한 계면상태, 높은 출력특성, 화학용액에 대한non-ideal 효과에 강한 immunity을 위하여 적층되었다. 결론적으로 SOI과 OHA 적층감지막을 이용하여 우수한 pH 감지 특성을 보이는 pH 센서가 제작되었다. -
최근 반도체 소자의 미세화에 따라, 단채널 효과에 의한 누설전류 및 소비전력의 증가 등이 문제되고 있다. 대표적인 휘발성 메모리인 dynammic random access memory (DRAM)의 경우, 소자의 집적화가 진행됨에 따라 저장되는 정보의 양을 유지하기 위해 캐패시터영역의 복잡한 공정을 요구하게 된다. 하나의 캐패시터와 하나의 트랜지스터로 이루어진 기존의 DRAM과 달리, single transistor (1T) DRAM은 silicon-on-insulator (SOI) 기술을 기반으로 하여, 하나의 트랜지스터로 DRAM 동작을 구현한다. 이러한 구조적인 이점 이외에도, 우수한 전기적 절연 특성과 기생 정전용량 및 소비 전력의 감소 등의 장점을 가지고 있다. 또한 strained-Si 층을 적용한 strained-Silicon-On-Insulator (sSOI) 기술을 이용하여, 전기적 특성 및 메모리 특성의 향상을 기대 할 수 있다. 본 연구에서는 sSOI 기판위에 1T-DRAM을 구현하였으며, impact ionization과 gate induced-drain-leakage (GIDL) 전류에 의한 메모리 구동 방법을 통해 sSOI 1T-DRAM의 메모리 특성을 평가하였다. 그 결과 strain 효과에 의한 전기적 특성의 향상을 확인하였으며, GIDL 전류를 이용한 메모리 구동 방법을 사용했을 경우 낮은 소비 전력과 개선된 메모리 윈도우를 확인하였다.
-
최근 폴리머를 기판으로 하는 Flexible TFT (thin film transistor)나 3D-ULSI (three dimensional ultra large-scale integrated circuit)에서 높은 에너지 소비효율과, 빠른 반응 속도를 실현 시키기 위해 낮은 비저항(resistivity)을 가지며, 높은 홀 속도(carrier hall mobility)를 가지는 다결정 반도체 박막(poly-crystalline thin film)을 만들고자 하고 있다. 이를 실현 시키기 위해서는 높은 온도에서 장시간의 열처리가 필요하며, 이는 폴리머 기판의 문제점을 야기시킬 뿐 아니라 공정시간이 길다는 단점이 있었다. 이에 반도체 박막의 재결정화 온도를 낮춰주는 metal (Al, Ni, Co, Cu, Ag, Pd etc.,)을 이용하여 결정화 시키는 방법이 많이 연구 되어지고 있지만, 이 또한 재결정화가 이루어진 반도체 박막 안에 잔여 금속(residual metal)이 존재하게 되어 비저항을 높이고, 홀 속도를 감소시키는 단점이 있다. 이에 본 실험은 HiPIMS (High power impulse magnetron sputtering)와 PIII and D (plasma immersion ion implantation and deposition) 공정을 복합시킨 프로세스로 적은양의 금속이온주입을 통하여 재결정화 온도를 낮췄을 뿐 아니라, 잔여 하는 금속의 양도 매우 적은 다결정 반도체 박막을 만들 수 있었다. 분석 장비로는 박막의 결정화도를 측정하기 위해 GAXRD (glancing angle X-ray diffractometer)를 사용하였고, 잔여 하는 금속의 양과 화학적 결합 상태를 알아보기 위해 XPS를 통해 분석을 하였다. 마지막으로 홀 속도와 비저항을 측정하기 위해 Hall measurement와 Four-point prove를 사용하였다.
-
동시 계수 도플러 넓어짐 양전자 소멸 분광법으로 n, p형 Cz-Si의 시료에 양성자를 0, 4 MeV 에너지와 조사량의 변화에 의한 결함을 측정하였으며, 고체 구조 특성에 대하여 조사하였다. 양전자와 전자의 쌍소멸로 발생하는 511 keV 감마선 스펙트럼의 수리적 해석 방법인 S-변수와 W-변수를 사용하여, 구조 변화를 측정하였다. 양성자 조사에너지의 세기에 따라 결함이 증가하였으며, 양성자의 조사량의 변화에 대하여는 큰 변화가 없었다.
-
최근 Charge Trap Flash (CTF) Non-Volatile Memory (NVM) 소자가 30 nm node 이하로 보고 되면서, 고집적화 플래시 메모리 소자로 각광 받고 있다. 기존의 CTF NVM 소자의 tunnel layer로 쓰이는 SiO2는 성장의 용이성과 Si 기판과의 계면특성, 낮은 누설전류와 같은 장점을 지니고 있다. 하지만 단일층의 SiO2를 tunnel layer로 사용하는 기존의 Non-Valatile Memory (NVM)는 두께가 5 nm 이하에서 direct tunneling과 Stress Induced Leakage Current (SILC) 등의 효과로 인해 게이트 누설 전류가 증가하여 메모리 보존특성의 감소와 같은 신뢰성 저하에 문제점을 지니고 있다. 이를 극복하기 위한 방안으로, 최근 CTF NVM 소자의 Tunnel Barrier Engineered (TBE) 기술이 많이 접목되고 있는 상황이다. TBE 기술은 SiO2 단일층 대신에 서로 다른 유전율을 가지는 절연막을 적층시킴으로서 전계에 대한 민감도를 높여 메모리 소자의 쓰기/지우기 동작 특성과 보존특성을 동시에 개선하는 방법이다. 또한 터널링 절연막으로 유전률이 큰 High-K 물질을 이용하면 물리적인 두께를 증가시킴으로서 누설 전류를 줄이고, 단위 면적당 gate capacitance값을 늘릴 수 있어 메모리 소자의 동작 특성을 개선할 수 있다. 본 연구에서는 CTF NVM 소자의 trap layer로 쓰이는 HfO2의 두께를 5 nm, blocking layer의 역할을 하는 Al2O3의 두께를 12 nm로 하고, tunnel layer로 Si3N4막 위에 유전율과 Energy BandGap이 유사한 HfAlO와 ZrO2를 적층하여 Program/Erase Speed, Retention, Endurance를 측정을 통해 메모리 소자로서의 특성을 비교 분석하였다.
-
The conducting polymer thin films were deposited using the gas phase method which known as molecular layer deposition (MLD). Terephthalaldehyde (TPA) and p-phenylenediamine (PD) were used as monomers to deposit conducting polymer. Self-terminating nature of TPA and PD reaction were demonstrated by growth rate saturation versus precursors dosing time. Infrared spectroscopic and X-ray photoelectron spectroscopy were employed to determine the chemical composition and state of conducting polymer thin films. Layer by layer growth and polymerization of thin films can be showed by shifting of absorption edge using UV-VIS spectroscopy. This conducting polymer fabricated by using MLD method gives the opportunity to develop new hybrid materials by combining inorganic materials in nanoscale.
-
In this study Diamond-like carbon (DLC) films were deposited on p-type Si substrates using a Radio-Frequency magnetron Sputtering system. The DLC film was deposited by bombarding graphite target with a N2/Ar plasma mixture with various conditions: substrate, pressure, deposition time, temperature of substrate, power and ratio of gas mixture. The effect on the conduction and hardness of DLC thin films were investigated. The conduction of DLC films were measured by I-V measurement. In addition, Raman analysis was performed to study the chemical bonding structure. The hardness was measured by Nano indentation. Atomic Force Microscopy was used for determined surface morphology of DLC film.
-
1차원 반도체인 nanowires (NWs)는 전기적, 광학적으로 일반 bulk구조와 다른 특성을 가지고 있어서 현재 많은 연구가 되고 있다. 일반적으로 NWs는 Au 등의 금속 촉매를 이용하여 성장을 하게 되는데 이때 촉매가 오염물로 작용을 해서 결함을 만들어서 bandgap내에 defect level을 형성하게 된다. 본 연구는 Si(111) 기판 위에 Ga-droplet을 촉매로 사용을 하여 molecular beam epitaxy로 성장을 하였다. 성장온도는 600
$^{\circ}C$ 로 고정을 하였고 growth rate은 GaAs(100) substrate에서 2.5 A/s로 Ga의 양을 고정하고 V/III ratio를 1부터 8까지 변화를 시켰다. As의 양에 따라서 생성되는 NWs의 개수가 증가하고 growth rate이 빨라지는 것을 확인할 수 있었다. Transmission Electron Microscopy 분석 결과 낮은 V/III ratio에서는 zincblende, wurtzite 그리고 stacking faults 가 혼재 되어 있는 것을 확인 할 수 있었다. 이러한 결함은 소자를 만드는데 한계가 있기 때문에 pure zincblende나 pure wurtzite를 가져야 하는데 V/III ratio : 8 에서 pure zincblende구조가 되었다. Gibbs-Thomson effect에 따르면 구조적 변화는 Ga droplet과 NWs의 접면에서 크기가 중요한 역할을 한다[1]. 연구 결과 V/III ratio : 8일 때 Ga droplet의 크기가 zincblende성장에 알맞다는 것을 예상할 수 있었다. laser confocal photoluminescence 결과 상온에서 1.43 eV의 bandgap을 가지는 bulk구조와는 다른 와 1.49eV의 bandgap을 가지는 것을 확인하였다. -
TFT (Thin Film Transistor)에서 공정을 단순화 시키고, 가격을 하락시키기 위해서는 Poly-Si을 대체할 물질이 필요하다. 이 연구에서는 Chalcogenide Material의 하나인 GeTe 박막을 이용하여 TFT Channel으로 사용 가능한 물질인지 알아보기 위하여 Post-Annealing을 한 뒤, 상 변화에 따른 박막의 저항 변화, Carrier Concentration (cm-3)과 Mobility (cm2V-1s-1)의 변화를 알아보았다. Sputtering을 이용하여 증착한 GeTe 100 nm Thin Film 위에 Sputtering을 이용하여 SiO2 5 nm를 Capping Layer로 증착한 후, Post-Annealing을 200
$^{\circ}C$ , 300$^{\circ}C$ , 400$^{\circ}C$ , 500$^{\circ}C$ 로 온도를 변화 시키며 진행하였고, 이로 인하여 GeTe Thin Film에 외부의 영향을 최소화 하였다. 먼저 GeTe Thin Film의 Sheet Resistance를 측정한 결과는 300$^{\circ}C$ 까지 낮은 Sheet Resistance의 거동을 보이며 반면, 400$^{\circ}C$ 이상이 되면 높은 Sheet Resistance의 거동을 보인다. Hall Measurement를 통해, Carrier Concentration과 Mobility를 알아보았다. Carrier Concentration은 온도가 증가하면 1E+19에서 1E+21 까지 증가하며, Mobility는 감소하는 경향을 보인다. 500$^{\circ}C$ Post-Annealed GeTe Thin Film에서는 Resistivity가 상당히 높아 4 Point Probe (Range : 1 mohm/sq~2 Mohm/sq)로 측정이 불가능하다. XRD로 GeTe Thin Film을 분석한 결과 as-grown, 200$^{\circ}C$ , 300$^{\circ}C$ 에서는 Cubic의 결정 구조를 보이며, Sheet Resistance가 급격히 증가한 400$^{\circ}C$ , 500$^{\circ}C$ 에서는 Rhombohedral의 결정구조를 보인다. GeTe Thin Film은 400$^{\circ}C$ 이상의 Post-Annealing 온도에서 cubic 구조에서 Rhombohedral 구조로 상 변화가 일어난다. 위 결과를 통해, 결정 구조의 변화가 GeTe Thin Film의 저항, Carrier Concentration과 Mobility에 밀접한 영향이 미치는 것을 확인하였다. -
It is known that semiconductor quantum-dot (QD) heterostructures have superior zero-dimensional quantum confinement, and they have been successfully applied to semiconductor laser diodes (QDLDs) for optical communication and infrared photodetectors (QDIPs) for thermal images [1]. The self-assembled QDs are normally formed at Stranski-Krastanov (S-K) growth mode utilizing the accumulated strain due to lattice-mismatch existing at heterointerfaces between QDs and cap layers. In order to increase the areal density and the number of stacks of QDs, recently, sub-monolayer (SML)-thick QDs (SQDs) with reduced strain were tried by equivalent thicknesses thinner than a wetting layer (WL) existing in conventional QDs (CQDs) by S-K mode. Despite that it is very different from CQDs with a well-defined WL, the SQD structure has been successfully applied to QDIP[2]. In this study, optical characteristics are investigated by using photoluminescence (PL) spectra taken from self-assembled InAs/GaAs QDs whose coverage are changing from submonolayer to a few monolayers. The QD structures were grown by using molecular beam epitaxy (MBE) on semi-insulating GaAs (100) substrates, and formed at a substrate temperature of 480
$^{\circ}C$ followed by covering GaAs cap layer at 590$^{\circ}C$ . We prepared six 10-period-stacked QD samples with different InAs coverages and thicknesses of GaAs spacer layers. In the QD coverage below WL thickness (~1.7 ML), the majority of SQDs with no WL coexisted with a small amount of CQDs with a WL, and multi-peak spectra changed to a single peak profile. A transition from SQDs to CQDs was found before and after a WL formation, and the sublevel of SQDs peaking at (1.32${\pm}$ 0.1) eV was much closer to the GaAs bandedge than that of CQDs (~1.2 eV). These revealed that QDs with no WL could be formed by near-ML coverage in InAs/GaAs system, and single-mode SQDs could be achieved by 1.5 ML just below WL that a strain field was entirely uniform. -
CIGS박막을 동시 증발법(co-evaporation)으로 몰리브덴이 증착된 소다라임 유리 위에 성장시켰다. CdS 박막은 화학적 용액 성장법 (chemical bath deposition: CBD)을 이용하여 약 60 nm를 증착하였다. 열처리는 가열판 (hot-plate)을 사용하여 공기중에서 하였다. 열처리 온도는 0~350
$^{\circ}C$ 까지 변화하였으며, 열처리 시간은 각각 5분이었다. 시료의 표면 및 계면의 변화를 SEM측정을 통하여 관측하였다. CdS/CIGS 박막의 열처리 온도 변화에 따른 photoluminescence 특성을 조사하였다. 여기 레이저는 488 nm ($Ar^+$ laser)와 632.8 nm (He-Ne laser)를 사용하여 결함의 근원을 조사하였다. 온도 의존성 실험을 통하여 CIGS 박막의 띠 간격 에너지를 확인할 수 있었으며, 결함 준위의 활성화 에너지 및 특성을 알 수 있었다.$Ar^+$ laser에서만 관측되는 신호의 근원은 CdS에 기인한 것이었다. -
동시 증발법(co-evaporation)에 의해 성장된
$CuIn_xGa_{1-x}Se_2$ (CIGS) 박막의 광학적 특성을 photoreflectance (PR) 분광법으로 연구하였다. 조성비 x는 0~1까지 변화시켰다. 시료의 두께는 약 2.2${\mu}m$ 였다. PR 측정은 변조빔 세기, 변조빔 주파수 및 온도의 함수로 조사하였다. PR 스펙트럼으로부터 조성비 x가 증가함에따라 시료의 띠간격 에너지가 증가하는 것을 관측하였다. 상온 PR 스펙트럼으로부터 시료내에 형성된 내부 전기장을 구하였다. 그리고 변조빔 세기의 증가에 따른 PR 신호의 세기는 점차 증가하는 반면에, 변조 주파수를 증가시킴에 따라 신호의 세기가 점차 감소함을 보였다. PR 신호의 온도 의존성 실험으로부터 띠간격 에너지의 변화 및 Varshni 계수 등을 구하여 CIGS 시료의 특성을 조사하였다. -
일반적으로 고출력 반도체 레이저 다이오드는 발진 파장 및 광출력에 따라 다양한 분야에 응용되고 있으며, 특히 발진파장이 808 nm 대역인 고출력 레이저 다이오드의 경우 재료가공, 펌핌용 광원, 의료 분야 등 다양한 응용분야를 가진 광원 중의 하나라고 할 수 있다. 808 nm 대역의 레이저 다이오드 제작에는 현재 InGaAsP/InGaP/GaAs 및 InGaAlAs/GaAs 양자우물을 이용하여 제작되고 있으나 양자우물과 이를 둘러싸는 장벽물질간의 band-offset이 적어 효율적인 고출력 레이저 다이오드의 제작에 다소 어려움이 있기 때문에 강한 캐리어 구속 효과를 지니는 양자점 혹은 양자대쉬 구조를 사용하는 것이 고출력 레이저 다이오드를 제작할 수 있는 한 방법이다. 실험에 사용된 InP/InGaP 양자구조는 Riber사의 compact21 MBE 장치를 사용하여 성장하였으며 GaAs기판을 620-630도에서 가열하여 표면의 산화층을 제거하고 580도에서 약 100 nm 두께의 GaAs 버퍼층 및 50 nm 두께의 InGaP층을 성장하였다. 양자 구조는 MEE (migration enhanced epitaxy) 방식으로 성장되었는데, 이는 InP/InGaP 의 lattice mismatch율이 작아 양자 구조 형성이 어렵기 때문에 InP/InGaP 양자 구조 성장에 적합하다고 생각하였으며, Indium 2초, growth interuption time 10초, phosphorous 2초 그리고 growth interuption time 10초를 하나의 시퀀스로 보고, 그 시퀀스를 반복하여 양자 구조를 성장하였다. 본 실험에 사용된 P 소스는 Riber사의 KPC-250 P-valved cracker모델을 사용하였으며 InP의 성장률은 0.985
${\AA}/s$ 이다. InP/InGaP 양자구조 성장 중에, 성장 온도, 시퀀스 수의 변화 등 다양한 조건을 변화 시켜 샘플을 성장시켰고, 양자 구조 성장을 확인하기 위하여 AFM 및 SEM을 통해 구조적 분석을 하였으며 PL 측정을 통해 광학적 분석을 진행하였다. -
최근 입력소자로 활용되는 터치스크린은 키보드나 마우스와 같은 입력장치를 사용하지 않고, 스크린에 손가락, 펜 등을 접촉하여 입력하는 방식이다. 터치패널의 구현방식에 따라 저항막(Resistive) 방식, 정전용량(Capacitive) 방식, SAW (Surface Accoustic Wave; 초음파) 방식, IR (Infrared; 적외선) 방식등으로 구분된다. 특히 최근 관심을 받고 있는 IR 방식은 적외선이 사람의 눈에는 보이지 않으나, 직진성을 가지고 있어 장애물이 있으면 차단되는 특성을 이용한 방식이다. IR방식의 터치패널은 발광(Light emitting)소자와 수광(Light detecting)소자가 마주하도록 배치되어 터치에 의해 차단된 좌표를 인식하게 되며, ITO 필름 등이 필요 없어 Glass 1장으로도 구현이 가능하며 투과율이 우수하다. 이러한 IR 방식의 터치패널을 제작하기 위하여 사용된 IR 광검출기는 광학적 band-gap이 작은 박막물질을 필요로 한다. 본 연구에서는 IR 광검출을 위한 물질로 SiGe를 co-sputtering 기법을 이용하여 성장시켰다. 일반적으로 SiGe 박막을 성장시키기 위하여 저압화학기상증착법(low pressure chemical vapor deposition, LPCVD)이나 고진공 LPCVD를 사용하지만 본 연구에서는 CVD에 비하여 무독성이면서 환경친화적이고 초기투자비용이 낮은 증착장비인 sputtering을 이용하였다. 본 연구에서 성장된 SiGe 박막은 400
$^{\circ}C$ 에서 rf plasma가 인가된 Ge과 dc plasma가 인가된 Si의 power를 조절하여 결정화도가 70% (Fig. 1)이고 결정성장방향이 (111)과 (220)방향으로 성장하는 SiGe 박막을 얻을 수 있었다. 본 논문에서는 co-sputtering 성장조건에 따라 성장된 SiGe의 박막 특성을 논의할 것이다. -
알루미늄을 이용한 배선은 반도체 소자가 초집적화와 초소고속화 됨에 따라, 피로현상과 지연시간 등 배선으로서의 많은 문제점을 가지고 있어, 차세대 배선 재료로서 전기적인 특성 등이 우수한 구리에 대한 연구가 많이 진행되고 있다. 하지만, 구리는 낮은 온도에서 확산이 잘되어 배선 층간의 절연에 문제점을 야기 시킨다. 따라서, 구리를 배선에 적용하여 신뢰성 있는 제품을 만들기 위해서는 확산방지막이 필요하다. 확산방지막은 집적화와 더불어 배선의 두께가 줄어 듦에 따라 소자의 특성에 영향을 미치지 않는 범위 내에서 저항은 낮고, 두께는 얇아야 하며, 높은 종횡비를 갖는 구조에서도 균일한 박막을 형성하여야 하므로, 원자층 증착공정을 이용한 연구가 주를 이루고 있다. 텅스텐 질화막을 이용한 확산방지막은 WF6 전구체를 이용한 보고가 많지만, 높은 증착 온도와 부산물로 인한 부식가능성 이라는 문제점을 안고 있다. 따라서 본 연구에서는, 기존의 할라이드 계열을 이용한 원자층 증착공정의 단점을 보완하기 위하여, 아마이드 계열의 전구체를 사용하여 텅스텐 질화막을 형성하였으며, 이를 통해 공정온도를 낮출 수 있었다.
-
최근 고밀도 메모리 반도체의 재료와 빠른 응답을 요구하는 나노입자를 이용한 비휘발성 메모리 소자의 제작에 대한 연구가 활발히 진행되고 있다. 그에 따른 기존의 플래쉬 메모리가 가지는 문제점을 개선하기 위해서 균일하고 규칙적으로 분포하는 새로운 나노소재의 개발과 비휘발성, 고속 동작, 고집적도, 저전력 소자의 공정기술이 요구되고 있다. 또한 부유게이트에 축적되는 저장되는 전하량을 증가시키기 위한 새로운 소자구조 개발이 필요하다. 한편, 실리 사이드 계열의 나노입자는 금속 나노입자와 달리 현 실리콘 기반의 반도체 공정에서 장점을 가지고 있다. 따라서 본 연구에서는 화합물 중에서 비휘발성 메모리 장치의 전기적 특성을 향상 시킬 수 있는 실리사이드 계열의 바나듐 실리사이드(V3Si) 박막을 열처리 과정을 통하여 수 nm 크기의 나노입자로 제작하였다. 소자의 제작은 p-Si기판에 실리콘산화막 터널층(5 nm 두께)을 건식 산화법으로 성장 후, 바나듐 실리사이드 금속박막을 RF 마그네트론 스퍼터 시스템을 이용하여 4~6 nm 두께로 터널 베리어 위에 증착하고, 그 위에 초고진공 마그네트론 스퍼터링을 이용하여 SiO2 컨트롤 산화막층 (20 nm)을 형성시켰다. 여기서 V3Si 나노입자 형성을 위해 급속 열처리법으로 질소 분위기에서 800
$^{\circ}C$ 로 5초 동안 열처리하여 하였으며, 마지막으로 열 기화 시스템을 통하여 알루미늄 전극(직경 200${\mu}m$ , 두께 200 nm)을 증착하여 소자를 제작하였다. 제작된 구조는 금속 산화막 반도체구조를 가지는 나노 부유게이트 커패시터이며, 제작된 시편은 투사전자현미경을 이용하여 나노입자의 크기와 균일성을 확인했다. 소자의 전기적인 측정을 E4980A capacitor parameter analyzer와 Agilent 81104A pulse pattern generator system을 이용한 전기용량-전압 측정을 통해 전하저장 효과 및 메모리 동작 특성들을 분석하고, 열처리 조건에 따라 형성되는 V3Si 의 조성을 엑스선 광전자 분광법을 이용하여 확인하였다. -
The binary chalcogenide semiconductor Bi2Se3 is at the center of intensive research on a new state of matter known as topological insulators. It has Dirac point in their band structures with robust surface states that are protected against external perturbations by strong spin-orbit coupling with broken inversion symmetry. Such unique band configurations were confirmed by recent angle-resolved photoelectron emission spectroscopy experiments with an unwanted n-type doping effect, showing a Fermi level shift of about 0.3 eV caused by atomic defects such as Se vacancies. Since the number of defects can be reduced using the molecular beam epitaxy (MBE) method. We have prepared the Bi2Se3 film on noble metal Au(111) and semiconductor Si(111) substrates by MBE method. To characterize the film, we have introduced several surface sensitive techniques including x-ray photoemission electron spectroscopy (XPS) and micro Raman spectroscopy. Also, crystallinity of the film has been confirmed by x-ray diffraction (XRD). Using home-built scanning tunneling microscope, we observed the atomic structure of quintuple layered Bi2Se3 film on Au(111).
-
최근 세포 포집 소자 제작에 있어 세포의 종류와 크기의 다양성을 고려하여 정확하게 포집하기 위한 고정밀화, 소형화 된 도구 제작 기술 개발이 중요한 현안으로 떠오르고 있다. 본 연구에서는 선행 기술에서의 세포 포집 한계를 극복하기 위한 방안으로 펨토초 레이저 가공을 통한 미세 세포 포집 장치 제작에 관한 실험을 진행하였다. 펨토초 레이저의 짧은 파장의 대역 범위와 전력 특성이 미세 소자 제작을 가능하게 함에 따라 수백, 수천 개의 세포 포집에 있어 보다 안정적이고 신뢰도 높은 포집 장치 구현을 실현시킬 수 있다. 실험에서는 펨토초 레이저의 가공 조건을 가변하며 MEMS 소자에 홀(hole)을 형성시켰다. flatness 200인 Polycarbonate 재질의 기판 위에 CNC공작기계를 사용하여 유로를 제작하고 상부에 젤라틴 코팅 부분 2를 포함한 총 두께 12의 membrane 필름을 부착하였다. 이후 775 nm 파장의 펨토초 레이저를 사용하여 10
${\times}$ 10 개수의 홀을 형성 한 후 홀 주위의 thermal damage와 레이저의 파워에 따른 홀의 형태와 크기 변화를 비교하였다. 실험 결과 membrane 막의 젤라틴 코팅 측면 홀의 평균 직경은 레이저의 파워와 비례하여 증가하였으며, 레이저 파워가 일정한 임계치에 도달하면 특정 시점에서 수렴됨을 확인하였다. 또한 PET 측면의 직경은 서서히 증가하고 빠르게 일정한 값으로 수렴됨을 확인하였다. 본 실험에서는 펨토초 레이저의 특성 파라미터와 레이저의 가공 조건을 수립함으로써 실험에서 사용 된 레이저를 이용한 드릴링 방안을 제시한다. -
열필라멘트 화학 기상 증착 공정(HWCVD, hot wire chemical deposition)은 낮은 기판 온도에서 다결정 실리콘 박막을 빠른 속도로 증착할 수 있는 방법이다. 이는 후처리가 없어도 전기적 특성이 우수한 박막을 저온에서 얻을 수 있기 때문에 녹는점이 낮은 기판에 증착을 할 수 있으며 공정비용 절감 효과가 있다. 이러한 박막 증착 공정 중 기상 핵생성에 의해 나노 입자가 생성되며, 새로운 관점에서는 그 농도와 크기가 박막 성장에 중요한 변수로 작용한다. 따라서 공정조건의 변화에 따라 생성되는 나노 입자의 크기 분포를 실시간으로 분석하여 박막 형성의 최적 조건을 찾는 연구가 필요하다. 하지만 이러한 입자 발생 특성에 관한 연구는 기존에 밝혀진 반응 메커니즘으로 인해 수치해석적 연구는 체계적으로 진행되었으나 실험적 연구의 경우 적합한 측정장비의 부재로 인해 제한이 있었다. 따라서 본 연구에서는 저압에서 실시간으로 나노입자 분포를 측정할 수 있는 PBMS (particle beam mass spectrometer)를 이용하여 열필라멘트 화학 기상 증착 공정 중 발생하는 입자의 존재를 확인하고 특성을 분석하였다. 실리콘 나노 입자의 측정은 PBMS 장비의 전단 부분을 HWCVD 배기 라인에 연결하여 진행하였으며 반응기 내 샘플링 위치, 필라멘트 온도, 챔버 압력, 작동기체의 비율을 변수로 하여 진행하였다. 그 결과 실리콘 나노 입자는 양 또는 음의 극성을 가진 하전된 상태임을 확인 하였고, 측정 조건에 따라 일부 단일 극성으로 존재하였다. 한편, 필라멘트 온도가 증가할수록 하전된 나노입자의 최빈값은 감소하였다. 또한 반응 가스인 SiH4 농도가 증가할수록 최빈값은 농도에 비례하여 증가하였다. 이런 결과는 기존 HWCVD 실험에서 투과 전자 현미경(TEM)을 이용하여 분석한 실리콘 나노 입자의 크기 분포 결과와 경향이 일치함을 확인하였다. 본 연구를 통하여 확인된 하전된 나노 입자의 존재를 실험적으로 확인하였으며 추후 지속적 연구에 의해 이러한 하전된 나노 입자가 박막 형성에 기여 하는 것을 규명하고 박막 형성 조건을 최적화하는데 중요한 역할을 할 것을 기대할 수 있다.
-
Type-II 반도체 나노 구조는 그것의 band alignment 특성으로 인해 광학 소자에 다양한 응용성을 가진다. 특히, 대표적인 Type-II 반도체 나노 구조인 InSb/InAs 양자점의 경우, 약 3~5
${\mu}m$ 의 mid-infrared 영역의 spectral range를 가지므로, 장파장을 요하는 소자에 유용하게 적용될 수 있다. 또한, Type-II 반도체 나노 구조의 밴드 구조를 staggered gap 혹은 broken gap 구조로 조절함으로써 infrared 영역 광소자의 전자 구조를 유용하게 바꾸어 적용할 수 있다. 최근, GaSb wafer 위에 InSb/InAsSb 양자점을 이용하여 cutoff wavelength를 6${\mu}m$ 까지 연장한 IR photodetector의 연구도 보고되고 있다. 하지만, GaSb wafer의 경우 그것의 비용 문제로 인해 산업적 적용이 쉽지 않다는 문제가 있다. 이러한 문제를 해결하기 위해 GaAs wafer와 같은 비용 효율이 높은 wafer를 사용한 연구가 필요할 것이다. 본 연구에서는 Molecular Beam Epitaxy(MBE)를 이용하여 undoped InAs wafer 와 semi-insulating GaAs wafer 상에 InSb 양자 구조를 형성한 결과를 보고한다. InSb 양자 구조는 20층 이상의 다층으로 형성되었고, 두 가지 경우 모두 400${\AA}$ spacer를 사용하였다. 단, InAs wafer 위에 형성한 InSb 양자 구조의 경우 InAs spacer를, GaAs wafer 위에 형성한 양자 구조의 경우 InAsSb spacer를 사용하였다. GaAs wafer 위에 양자 구조를 형성한 경우, InSb 물질과의 큰 lattice mismatch 차이 완화 뿐 아니라, type-II 밴드 구조 형성을 위해 1${\mu}m$ AlSb 층과 1${\mu}m$ InAsSb 층을 GaAs wafer 위에 미리 형성해 주었다. 양자 구조 형성 방법도 두 종류 wafer 상에서 다르게 적용되었다. InAs wafer 상에는 주로 일반적인 S-K 형성 방식이 적용된 것에 반해, GaAs wafer 상에는 migration enhanced 방식에 의해 양자 구조가 형성되었다. 이처럼 각 웨이퍼에 대해 다른 성장 방식이 적용된 이유는 InAsSb matrix와 InSb 물질 간의 lattice mismatch 차이가 6%를 넘지 못하여 InAs matrix에 비해 원하는 양자 구조 형성이 쉽지 않기 때문이다. 두 가지 경우에 대해 AFM과 TEM 측정으로 그 구조적 특성이 관찰되었다. 또한 infrared 영역의 소자 적용 가능성을 보기 위해 광학적 특성 측정이 요구된다. -
Franz Keldysh Oscillation (FKO)은 p-n 접합 구조의 공핍층(depletion zone)에서 전기장(electric field)에 의해 발생되며, Photoreflectance (PR) spectroscopy를 통하여 관측된다. InAs/GaAs 양자점 태양전지(Quantum Dot Solar Cells, QDSCs)에서 PR 신호에 대한 Fast Fourier Transform (FFT)을 통하여 FKO 주파수들을 관측할 수 있고, 각각의 FKO 주파수들은 태양전지 구조에 대응하는 표면 및 내부전기장(internal electric field) 들로 분류할 수 있다. InAs/GaAs 양자점 태양전지에서 AlGaAs potential barrier의 두께에 따른 내부전기장의 변화를 조사하기 위해, GaAs-matrix에 8주기의 InAs 양자점 층이 삽입된 태양전지를 molecular beam epitaxy (MBE) 방법으로 성장하였다. 양자점의 크기는 2.0 monolayer (ML)이며, 각 양자점 층은 1.6 nm에서 6.0 nm의 AlGaAs potential barrier들로 분리되어 있다. 또한 양자점 층의 위치에 따라 내부전기장 변화를 조사하기 위해, p-i-n 구조에서 양자점 층이 공핍층 내에 위치한 경우와 p+-n-n+ 구조에서 양자점 층이 공핍 층으로부터 멀리 떨어진 n-base 영역에 삽입하여 실험결과를 비교분석하였다. PR 실험결과로부터, p-i-n 구조에서 InAs 양자점 태양전지의 내부전기장 변화는 potential barrier 두께에 따라 다소 복잡한 변화를 보였으며, 이는 양자점 층이 공핍층 내에 위치함으로써 격자 불일치(lattice mismatch)로 발생된 응력(strain)의 영향으로 설명할 수 있다. 이러한 결과들을 각각의 태양전지 구조에서 표면 및 내부전기장에 대해 계산된 값들에 근거하여, p+-n-n+ 구조에서 양자점 층이 공핍 층으로부터 멀리 떨어진 영역에 삽입된 경우의 결과와 비교해 보면 내부전기장의 변화는 더욱 분명해진다. 즉, 양자점 층의 potential barrier의 두께를 조절하거나, 양자점 층의 위치를 변화시킴으로써 양자점 태양전지의 내부전기장을 조작할 수 있으며, 이는 PR 실험을 통해 FKO를 관측함으로써 확인할 수 있다.
-
6.48
${\AA}$ 의 격자 상수를 갖는 InSb 물질은 0.17 eV의 낮은 에너지 밴드갭과 78,000 cm2/Vs의 전자 이동도를 갖는 물질로서 고속의 자성 센서소자, 장파장의 광 검출기 그리고 고속 전자소자 등의 분야에서 많은 주목을 받고 있다. 그러나, 전기적 특성이 우수한 InSb 물질을 소자로 구현하는데 있어서 큰 어려움이 있다. InSb와 격자 크기가 잘 맞으면서 절연이 우수한 기판의 부재가 가장 큰 문제가 되는 부분이다. 즉, 격자 부정합을 최소화하며 동시에 절연기판을 사용함으로써 소자의 특성을 잘 살려야 하는 것이다. 이러한 이유로 인하여 InSb 기반의 소자가 널리 사용되지 못하고 있는 것이다. 현재 범용으로 사용하고 있는 기판은 격자 부정합이 14%인 GaAs, 11%의 InP 그리고 18%의 Si 등이 있다. 이번 발표에서는 GaAs 기판 위에 격자 부정합을 최소화하여 InSb 박막을 최적화 시켜 성장하는 방법에 대해서 소개하고자 한다. InSb 박막 성장하는데 있어 논문으로 보고된 여러 가지 방법들이 있다. 기판과의 격자 부정합을 줄이기 위하여 저온-고온 (L-T)의 의한 메타몰픽(metamorphic) buffer 층을 성장 후 InSb 박막을 성장하는 방법[1] 그리고 단계별 buffer를 성장하는 방법[2] 등을 통해서 많은 진보가 있었다. 하지만, 우리는 GaAs 기판 위에 AlSb 박막을 성장 하면서 동시에 In과 Al의 양을 서서히 변화시키는 grading 기술을 사용하였다. 즉, 물질 각각의 격자상수를 고려하여 GaAs (기판)-AlSb-InAlSb-InSb로 변화를 주어 격자 부정합이 최소가 되도록 하여 만들어진 buffer 위에 InSb 층이 만들어 지도록 하여 GaAs 기판 위에 InSb 박막을 성장 할 수 있었다. grading 기술을 이용하여 만들어진 buffer 위에 성장된 0.3 um의 InSb 박막 층은 상온에서 전자 이동도가 약 38,000 cm2/Vs에 이르는 것을 확인하였다. InSb 박막의 두께가 약 1 um 되어야 30,000 cm2/Vs 이상의 전자 이동도를 얻을 수 있다고 많은 논문을 통해서 보고 되고 있으나 우리는 단지 0.3 um의 InSb 박막두께에서 이와 같은 전기적인 특성을 확인하였기에 이상과 같이 보고 하고자 한다. -
알루미늄 합금은 경량성과 우수한 가공성, 내식성 등의 특성을 지니고 있고 구리나 아연, 마그네슘, 실리콘 등과 쉽게 합금화 가능하다. 또한 알루미늄과 그 합금은 자동차, 항공기, 건축물, 레저 그리고 가전용품의 재료로도 널리 사용되고 있다. 특히 Al에 Si을 소량 첨가하게 되면 내식성과 반사율이 향상되는 것으로 알려져 있다. 본 연구에서는 마그네트론 스퍼터링으로 Al, Al-Si 박막을 코팅하여 박막의 미세구조와 가시광선의 반사율을 관찰하였다. 시편은 Si wafer를 사용하였으며 알코올과 아세톤으로 각각 10분간 초음파 세척한 후 진공장비에 장착하여 Ar 분위기에서 glow discharge로 in-situ cleaning을 약 30분간 실시하였다. 시편청정이 끝나면 ~10-6 Torr 까지 진공배기를 실시하고 Ar 가스를 주입하여 2.5 mTorr로 진공도를 유지하면서 박막 코팅을 실시하였다. 기판-타겟의 거리는 12 cm로 고정 하였고 0.7, 1.5, 2.0 kW의 스퍼터링 파워와 외부 자기장의 변화에 따라 실험을 실시하였다. 순수한 Al 박막의 경우 외부 자기장 변화가 박막조직 변화에 영향을 주었으나 Si이 함유된 Al 합금 박막에서는 외부 자기장의 효과보다는 스퍼터링 전원의 세기가 박막 조직을 변화시키는 주된 공정변수였다. 박막의 반사율은 Si이 함유된 박막이 순수한 Al 박막보다 높았으며 스퍼터링 전원 세기가 증가할수록 반사율이 증가하는 경향성을 보였다. 이것은 Si을 Al에 첨가하여 스퍼터링 전원 세기를 최적화하는 것만으로도 치밀한 조직의 박막을 코팅할 수 있으며 높은 반사율을 갖는 박막을 코팅할 수 있음을 의미한다.
-
Dual-frequency (DF) capacitively coupled plasmas (CCP) are used to separately control the mean ion energy and flux at the electrodes [1]. This separate control in capacitively coupled radio frequency discharges is one of the most important issues for various applications of plasma processing. For instance, in the Plasma Enhanced Chemical Vapor Deposition processes such as used for solar cell manufacturing, this separate control is most relevant. It principally allows to increase the ion flux for high deposition rates, while the mean ion energy is kept constant at low values to prevent highly energetic ion bombardment of the substrate to avoid unwanted damage of the surface structure. DF CCP can be analyzed in a fashion similar to single-frequency (SF) driven with effective parameters [2]. It means that DF CCP can be converted into SF CCP with effective parameters such as effective frequency and effective current density. In this study, comparison of DF CCP and its converted effective SF CCP is carried out through particle-in-cell/Monte Carlo (PIC-MCC) simulations. The PIC-MCC simulation shows that DF CCP and its converted effective SF CCP have almost the same plasma characteristics. In DF CCP, the negative resistance arises from the competition of the effective current and the effective frequency [2]. As the high-frequency current increases, the square of the effective frequency increases more than the effective current does. As a result, the effective voltage decreases with the effective current and it leads to an increase of the ion flux and a decrease of the mean ion energy. Because of that, the negative resistance regime can be called the preferable regime for solar cell manufacturing. In this preferable regime, comparison of DF (13.56+100 or 200 MHz) CCP and SF (60 MHz) CCP with the same effective current density is carried out. At the lower effective current density (or at the lower plasma density), the mean ion energy of SF CCP is lower than that of DF CCP. At the higher effective current density (or at the higher plasma density), however, the mean ion energy is lower than that of SF CCP. In this case, using DF CCP is better than SF CCP for solar cell manufacturing processes.
-
In SiH4/H2 discharge for growth process of hydrogenated amorphous silicon (a-Si:H), silane polymers, produced by SiH2 + Sin-1H2n
${\rightarrow}$ SinH2n+2, have no reactivity on the film-growing surface. However, under the SiH2 rich condition, high silane reactive species (HSRS) can be produced by electron collision to silane polymers. HSRS, having relatively strong reactivity on the surface, can react with dangling bond and form Si-H2 networks which have a close correlation with photo-induced degradation of a-Si:H thin film solar cell [1]. To find contributions of suggested several external plasma conditions (pressure, frequency and ratio of mixture gas) [2,3] to suppressing productions of HSRS, some plasma characteristics are studied by numerical methods. For this study, a zero-dimensional global model for SiH4/H2 discharge and a one-dimensional particle-in-cell Monte-Carlo-collision model (PIC-MCC) for pure SiH4 discharge have been developed. Densities of important reactive species of SiH4/H2 discharge are observed by means of the global model, dealing 30 species and 136 reactions, and electron energy probability functions (EEPFs) of pure SiH4 discharge are obtained from the PIC-MCC model, containing 5 charged species and 15 reactions. Using global model, SiH2/SiH3 values were calculated when pressure and driving frequency vary from 0.1 Torr to 10 Torr, from 13.56 MHz to 60 MHz respectively and when the portion of hydrogen changes. Due to the limitation of global model, frequency effects can be explained by PIC-MCC model. Through PIC-MCC model for pure SiH4, EEPFs are obtained in the specific range responsible for forming SiH2 and SiH3: from 8.75 eV to 9.47 eV [4]. Through densities of reactive species and EEPFs, polymerization reactions and production of HSRS are discussed. -
최근, 산화물 반도체를 통한 나노선 연구가 활발히 진행되고 있다. 1차원 나노선은 넓은 표면적을 가지며 다양한 특성을 지녀 미래 nanodevice로의 중요한 building block 소자로의 활용이 가능하다. 본 연구에서는 이종의 나노선을 합성하여 hierarchical nanojunction structure를 제작, 특성을 확인하였다. 이러한 구조는 나노선이 가지는 넓은 표면적의 특성과 동시에, multi-component fuctional nanodevice를 구현하는데에 적합한 구조이다. 본 연구는 텅스텐 기판 위에 고온의 열증착 방식을 이용하여 텅스텐 산화물 나노선을 제작시켜 그 위에 저온의 수열합성을 통한 산화아연 나노선을 제작한 후 향상된 field emission emitter로서의 특성을 살펴보았다. 합성된 텅스텐 산화물 나노선은 quasi-allign된 구조를 가지며, 이러한 구조 위에 ZnO를 스퍼터링하여 seed layer를 형성시키고, 암모니아수와 아연염을 이용한 수열합성법을 통하여 합성된 나노선 위에 nanobranch의 산화아연 나노선을 형성하였다. 이러한 성장특성은 SEM, TEM을 통하여 확인하였고 각각의 특성과 계면을 살펴보았다. 또한 이러한 구조를 이용하여 전계방출특성을 확인하였는데, 약 5.7 eV의 일함수를 갖는 텅스텐 산화물 나노선 위에 더 작은 값의 일함수를 갖는 산화아연 나노선을 합성하여 전계방출특성을 보았으며, 더 향상된 결과를 얻을 수 있었다. 또한 산화아연의 합성방법에 따른 전계방출 특성의 차이도 비교하였다.
-
최근에
$Eu^{3+}$ 이온이 첨가된 적색 형광체에 대한 연구가 활발히 진행되고 있다. 현재 상업적으로 이용 가능한 적색 형광체와 비교하여$GdVO_4$ 를 모체로 갖는 적색 형광체는 우수한 열적 안정성과 광학적 특성을 나타낸다. 본 연구에서는 고효율의 적색 형광체를 개발하기 위하여 고상 반응법을 사용하여$Gd_{1-x}VO_4$ :$Eu_x^{3+}$ 형광체를 합성하였다.$Gd_{1-x}VO_4$ :$Eu_x^{3+}$ 형광체 분말 시료는 활성체인$Eu^{3+}$ 의 함량을 0, 0.05, 0.10, 0.15, 0.20 mol로 변화시키며, 초기 물질$Gd_2O_3$ (99.99%),$H_3BO_3$ (99.99%),$Eu_2O_3$ (99.9%)를 화학 적량으로 준비하였다. 분말은 볼밀과 건조 작업을 거친 후, 500$^{\circ}C$ 전기로에서 5시간 동안 하소 공정, 1,100$^{\circ}C$ 에서 6시간 동안 소성시켰다. 합성된 형광체 분말의 XRD 측정한 결과에 의하면,$Eu^{3+}$ 의 함량비에 관계없이 모든 분말 시료들에서 주 피크는 24.7$^{\circ}$ 와 33.2$^{\circ}$ 에 최대값을 갖는 (200)와 (112)면의 회절 신호들이 관측되었고, 상대적으로 약한 회절 세기를 갖는 (101), (211), (301), (103), (312)와 (420)면의 회절 신호들은 각각 18.6$^{\circ}$ , 31.1$^{\circ}$ , 40.1$^{\circ}$ , 44.6$^{\circ}$ , 49.2$^{\circ}$ 와 57.1$^{\circ}$ 에서 나타났다(Fig. 1). 이결과를 JCPDS(86-0996)와 비교함으로써, 합성된 형광체 분말의 결정 구조는 정방정계임을 확인할 수 있었다.$Eu^{3+}$ 의 함량비가 0.05 mol에서 0.15 mol로 증가함에 따라 주 피크인 (200)면의 회절 신호의 세기는 증가한 반면, 0.20 mol에서는 급격하게 감소하였으며, 이 경우에 반치폭의 크기는 0.16$^{\circ}$ 이었다. 결정 입자의 크기를 결정하기 위하여 (200)면의 회절 피크에 대한 정보를 잘 알려진 Scherrer의 식에 대입하여 계산한 결과,$Eu^{3+}$ 의 함량비가 0 mol인 경우에, 평균 크기는 48 nm이었다.$Eu^{3+}$ 함량비를 증가함에 따라 결정 입자의 크기도 비례하여 증가하였으며, 0.15 mol에서 최대값을 나타내었으나, 농도 억제 효과로 인하여 0.20 mol 에서는 현저히 감소하였다. 표면 형상의 변화를 관측한 SEM 측정 결과에 의하면,$Eu^{3+}$ 의 함량비가 0 mol에서 0.15 mol로 증가함에 따라 결정 입자의 모양은 사다리꼴 형태에서 모서리가 둥글게 깎인 구형으로 변형되는 것을 관측할 수 있었으며 평균 크기는 500 nm이었다(Fig. 2).$Eu^{3+}$ 의 함량이 0.20 mol인 경우에 결정 입자의 형상은 더욱 구형에 접근하였으나, 평균 크기는 최소값을 나타내었다. 실험 결과로부터, 적절한 함량비를 갖는$Eu^{3+}$ 이온을 첨가함으로써 적색 형광체$Gd_{1-x}VO_4$ :$Eu^{3+}$ 분말의 결정 크기와 발광 세기를 제어할 수 있음을 제안한다. -
유기발광소자는 빠른 응답속도, 고휘도 및 면발광의 장점을 가지고 있어서 차세대 디스플레이와 조명시장에서 주목을 받고 있다. 그 중 백색유기발광소자는 차세대 조명과 디스플레이의 백라이트로서 많은 연구가 진행되고 있으며, 다른 디스플레이에 비해서 많은 장점을 가지고 있다. 그러나 백색유기발광소자의 경우 복잡한 구조에 의한 공정비용의 증가, 낮은 효율 및 색안정성과 같은 문제점이 있다. 본 연구에서는 청색 인광 물질을 사용하여 고효율의 청색 유기발광소자를 제작하였으며, 졸-겔 방법으로 제작된 Mn 도핑된
$Zn_2SiO_4$ 녹색 무기물 형광체와 Mn 도핑된$CaAl_{12}O_{19}$ 적색 무기물 형광체를 제작된 청색 유기발광소자에 도포하여 백색 발광소자를 제작하였다. Mn 도핑된$Zn_2SiO_4$ 와 Mn 도핑된$CaAl_{12}O_{19}$ 무기물 형광체층은 청색 유기발광소자에서 발생하는 빛을 흡수하여 적색과 녹색의 빛으로 변환하기 때문에 백색 구현에 필요한 청색, 녹색, 적색의 빛을 모두 얻을 수 있다. 녹색과 적색의 무기물 형광체의 두께와 결정크기에 따른 광학적 특성 변화를 조사하여 최적의 백색 발광소자를 제작하였다. 주사전자현미경을 통해 무기물 형광체의 결정크기를 조사하였으며, 전압-휘도 특성으로 광학적 특성을 조사한 결과 제작한 백색 발광소자의 색좌표가 순백색에 가까운 값을 나타내었다. 색변환층으로 사용한 무기물 형광체의 구조적 및 광학적 성질에 대한 결과를 바탕으로 백색 유기발광소자의 발광메커니즘을 설명하였다. -
Enhanced ICRF (Ion Cyclotron Range of Frequency) ion heating of H-mode D(H) plasma will be tried in 2011 KSTAR experimental campaign. Minority heating is a main ion heating scheme in the ICRF. Its efficiency increases as the hydrogen minority ratio increases in deuterium plasmas. And it should be sustained at a lower level than the critical minority ratio. Consequently, it is important to elevate the critical ratio to maximize ion heating and it is possible by increasing the ion temperature or parallel wave number (k
${\parallel}$ ) of the antenna. Increasing the k${\parallel}$ is not a good approach since the coupling efficiency decreases exponentially with regard to k${\parallel}$ as well. So the remaining method is to increase ion temperature by using NB (Neutral Beam). Ion heating fraction of NB increases as the electron temperature increases. Therefore, we will try to heat electron by using ECH together with NB ion heating before ICRF power injection. The ICRF heating efficiency will be compared with respect to several NB+ECH+ICRF heating combinations through several diagnostics such as XICS (Xray Imaging Crystal Spectroscopy), CES (Charge Exchange Spectroscopy) and neutron measurement. The theoretical background and the experimental results will be presented in more detail in the conference. -
Electrosurgery has been widely used in surgical procedures for many years. The surgical procedure using radiofrequency power generated occasionally sparks causing electrical stimulations. According to a paper of commercialized APC (Argon Plasma Coagulation) in the gastrointestinal endoscopy, Patients treated by APC had experienced pain and neuromuscular stimulation. This study aimed to investigate the electrosurgery sparks. In this experiment, current threshold of electrosurgery using rf was investigated. Sparks analogous to surgical situation was generated and measured the I-V figure. Asymmetric current, observed by other researchers, was also measured. This could be explained by difference between positive phase and negative phase. Furthermore, a method was developed to remove asymmetric current and to make safer device for surgical field.
-
KSTAR ICRF 안테나 장치에서 외곽 플라즈마 밀도분포는 고주파 출력이 내부로 전달되는 효율을 위해 중요하게 다루어 진다. 따라서 1.5T의 자기장에서 플라즈마에 간섭없이 0~
$10^{14}/cm^3$ 의 외곽 플라즈마 밀도분포를 측정할 수 있는 Q-band 대역의 x-mode 반사계가 필요 하였다. 헬리콘 플라즈마는$10^{13}/cm^3$ 이상의 높은 플라즈마 밀도를 수 kW 이내의 rf power와, 수 MHz 대역의 고주파원을 사용하여 높은 에너지 효율로 얻을 수 있다. 이때 높은 플라즈마 밀도는 외곽 플라즈마 밀도 와 비슷하여 제작한 반사계를 테스트 할 수 있다. 본 연구에서는 x-mode microwave 반사계를 제작하고, 1kW rf power와 10MHz 고주파원으로 헬리콘 플라즈마를 생성하여 정전 탐침으로 진단하였고, 반사계의 Q-band대역의 주파수를 가변 하여 반사되어 나오는 마이크로파의 beat 주파수를 통해 밀도 분포를 얻어서 정전탐침과 비교 분석하였다. -
차세대 디스플레이로 각광 받고 있는 유기발광소자는 빠른 응답속도, 넓은 시야각 및 얇은 두께로 제작이 가능한 장점들을 가지고 있으나, 고효율 유기발광소자를 제작하기 위하여 엑시톤 형성 효율을 증가시키고 형성된 엑시톤의 소멸을 감소시켜 발광 효율을 증진하는 연구가 활발히 진행되고 있다. 유기발광소자의 발광 효율을 증진하기 위하여 소자의 구조에 대한 구조적 연구와 발광 물질에 대한 재료적 연구 등이 진행되고 있으며, 그 중에서 발광층에 사용하는 인광 물질은 삼중항 상태의 엑시톤을 광자로 천이할 수 있는 특성이 있어서 높은 발광 효율의 유기발광소자 제작이 가능하기 때문에 많은 연구가 진행되고 있다. 그러나 인광 물질을 사용한 유기발광소자의 엑시톤 수명이 형광 물질을 사용한 유기발광소자의 엑시톤 수명보다 길기 때문에, 인광 물질을 사용한 유기발광소자에서 형성된 삼중항 엑시톤끼리 서로 충돌하여 소멸될 확률이 높아지는 문제점이 있다. 또한, 인광물질을 사용한 유기발광소자 동작시에 높은 전류 영역에서 삼중항 엑시톤 형성 양이 많아서 삼중항 엑시톤 소멸 확률이 증가하는 문제점이 있다. 본 연구에서는 고효율 유기발광소자를 제작하기 위하여 유기발광소자의 발광층으로 인광 호스트 물질에 iridium을 포함한 중금속 착화합물 계열의 녹색 인광 도펀트 물질인 tris(2-phenylpyridine) iridium(III) (
$Ir(ppy)_3$ )를 도핑하였다. 제작된 유기발광소자는 전류 증가에 따른 삼중항 엑시톤 충돌로 인한 발광 효율 감소를 억제하기 위하여 인광 도펀트인$Ir(ppy)_3$ 와 같은 lowest unoccupied molecular orbital 준위를 가지는 4,7-diphenyl-1,10-phenanthroline 전자 수송층을 사용하였다. 전기적 및 광학적 특성 분석 결과 제작된 유기발광소자에서 삼중항 엑시톤 소멸을 최소화하여 발광 효율이 증가한 것을 확인하였다. 본 실험의 결과는$Ir(ppy)_3$ 을 도핑한 녹색 인광 유기발광소자의 삼중항 엑시톤 충돌을 억제하여 유기발광소자의 발광 효율을 증진하는 메커니즘을 이해하는데 중요하다. -
유기발광소자는 낮은 구동전압, 높은 명암비 및 높은 색 재현성 등의 장점을 바탕으로 차세대 디스플레이 및 조명용 광원으로 주목 받고 있다. 또한, 유기발광소자는 발광층을 다층으로 적층하여 적색, 녹색 및 청색을 동시에 발광시켜 단일 소자로 백색 발광소자를 제작할 수 있는 특성을 가지고 있다. 본 연구에서는 백색 유기발광소자를 제작하기 위하여 두 유기물 사이에서 나타나는 엑시플렉스 현상을 이용하였다. 엑시플렉스 현상으로 인한 발광 특성 변화를 관찰하기 위하여 낮은 highest occupied molecular orbital에너지 준위를 가지는 4,4',4''-tris(2-methylphenyl-phenylamino)triphenylamine (m-MTDATA)를 tris(8-hydroxy-quinolinato)aluminum 또는 N,N'-bis(1- naphthyl)-N,N'-diphenyl-1,1'-biphenyl-4,4'-diamine과 혼합하여 발광층을 제작하였다. 엑시플렉스 현상을 관측하기 위해 제작된 유기발광소자의 전기적 및 광학적 특성을 측정한 결과 엑시플렉스 현상으로 인한 발광 특성의 변화가 나타났으며 인가된 전압에 따라 엑시플렉스 현상의 변화를 확인하였다. 엑시플렉스 현상을 이용한 백색 유기발광소자를 제작하기 위하여 4-(dicyanomethylene)-2-methyl-6-(p-dimethyl aminostyryl)-4H-pyran (DCM1)을 9,10-di(2-naphthyl)anthracene층과 m-MTDATA층 사이에 얇게 삽입하여 발광층을 형성하였다. 제작된 백색 유기발광소자의 전기적 및 광학적 특성을 측정한 결과 DCM1이 엑시플렉스 현상을 이용하여 적색 빛을 발광하는 것을 알 수 있었다. 본 연구는 엑시플렉스 현상을 이해하고 응용하는데 많은 도움을 준다.
-
Transparent Conductive Oxide (TCO) 박막은 디스플레이 산업에 낮은 면저항 및 높은 광투과성으로 없어서는 안 될 중요한 물질로 많은 선행연구가 진행되어져 왔다. 하지만 전 세계적으로 플라즈마와 TCO박막의 특성과의 상관관계에 대한 연구가 부족하여, 디바이스 업계에서 요구하는 수준에 미치지 못하고 있다. 본 연구에서는 저온 공정이 가능한 dual pulsed magnetron sputtering을 이용해 TCO박막을 합성하고 플라즈마 특성 변화에 따른 TCO 박막의 상관관계를 규명 하고자 한다. Dual pulsed magnetron의 자장에 의해 구속되는 플라즈마 내의 이온 종들과 이온과 중성자의 비율관계를 optical emission spectroscopy (OES)로 확인 하였고, 기판 전류 및 기판 온도 측정, Langmuir probe를 통한 플라즈마 특성 분석을 통하여 플라즈마와 특성과 박막 성장과의 상관관계에 대하여 규명 하였다. 전자 온도는 1.25 eV에서 2.46 eV 증가하는 것을 확인할 수 있었으며, 이온 밀도는
$1.7{\times}109/cm^3$ 에서$2.2{\times}109/cm^3$ 증가하는 것을 확인하였다. 이러한 플라즈마 밀도가 증가함에 따라 박막은 비정질에서 다결정질로 바뀌면서 전기이동도는 증가하고 전자 농도는 감소하여 87.8%의 높은 투과율과 <50${\Omega}/{\Box}$ 의 면저항을 갖는 TCO 박막을 합성 하였다. -
유기발광소자는 낮은 구동전압과 높은 명암비, 높은 색 재현성을 장점으로 차세대 디스플레이로 주목 받고 있다. 또한, 유기발광소자는 다층 발광층을 사용하여 단일 소자에서 적색, 녹색, 및 청색의 광원을 동시에 표현할 수 있기 때문에 차세대 디스플레이와 백색 조명 광원으로 많은 응용 가능성을 보이고 있다. 특히 백색 조명과 관련된 유기발광소자 기술은 가정용면 광원과 농작물 재배 광원 등의 다양한 용도로 사용 가능하며, 낮은 전력 소모로 인한 친환경에너지로 활발한 연구가 진행 중이다. 고효율 백색 유기발광소자를 제작하기 위해서는 소자에 주입되는 정공과 전자의 양을 조절하여 발광층 내에서 다수의 전자-정공쌍을 형성하여야 하는데, 유기발광소자에서 정공의 이동도는 전자의 이동도보다 약 103 정도 크기 때문에 전자의 이동도를 증가할 필요가 있다. 본 연구에서는 전자의 이동도가 다른 tris(8-hydroxyquinolate)aluminum (Alq3)와 4,7-diphenyl-1,10-phenanthroline (BPhen)을 전자수송층으로 사용한 백색 유기발광소자를 제작하여 전기적 및 광학적 특성을 관찰하였다. BPhen 전자수송층을 사용한 유기발광소자는 Alq3 전자수송층을 사용한 유기발광소자보다 높은 전자이동도를 가지고 있어서 고효율의 유기발광소자 제작이 가능하다. 이러한 결과를 바탕으로 유기발광소자의 발광층으로 청색 빛을 내는 4,4'-bis(2,2'-diphenylvinyl)-1,1'-biphenyl와 황색 빛을 내는 5,6,11,12-tetraphenylnaphthacene을 사용하여 백색 유기발광소자를 제작하고 전기적 및 광학적 특성을 조사하였다.
-
최근에 고효율의 적색 형광체를 합성하여 형광 램프, 음극선관, X-선 검출기, 전계 발광 디스플레이에 응용하기 위하여 다양한 모체 결정과 활성체를 도핑하는 연구가 활발히 진행되고 있다. 본 연구에서는
$GdNbO_4$ 모체 결정에$Eu^{3+}$ 이온 활성체를 주입하여 합성함으로써 새로운 적색 형광체의 발광 세기와 입자의 형상을 최적화 시키고자 한다. 형광체 분말 시료의 제조는 Eu의 함량을 0, 0.05, 0.10, 0.15, 0.20 mol로 변화시키면서 고상 반응법을 이용하여 합성하였다. 초기 물질 Gd2O3 (99.99%),$Nb_2O_5$ (99.99%),$Eu_2O_3$ (99.9%)를 화학 적량으로 측량하고 에탄올과 ZrO2 볼과 함께 플라스틱 병에 넣어 400 rpm의 속도로 24시간 볼밀 작업을 수행한 후에, 혼합된 분말의 볼을 걸러내고 60$^{\circ}C$ 에서 20시간 동안 건조하였다. 건조된 시료를 막자 사발에 넣고 잘게 갈아서 체로 걸러낸 다음에 세라믹 도가니에 넣고 전기로에서 분당 5$^{\circ}C$ 씩 승온하여 500$^{\circ}C$ 에서 10시간 동안 1차 하소한 후에, 계속 온도를 승온시켜 1,200$^{\circ}C$ 에서 3시간 동안 소결하여 합성하였다. XRD 회절 패턴의 경우에,$Eu^{3+}$ 의 함량에 관계없이 모든 세라믹은 JCPDS (22-1104)에 제시된 회절상과 일치하는 사방정계의 결정 구조를 가짐을 확인할 수 있었다. 주 피크는 28.4$^{\circ}$ 에서 최대값을 갖는 (121)면에서 발생하는 회절 신호이었다(Fig. 1). 적색 형광체 분말의 광학 및 표면 특성은 PL, PLE와 SEM으로 조사되었으며, 세라믹 분말의 형광 특성과 결정 구조, 표면 형상에 대한 자세한 논의가 제시될 것이다. -
최근 다양한 종류의 태양전지의 연구가 수행되고 있으며 그 중 박막형 태양전지 및 웨이퍼 실리콘 기반의 태양전지의 경우 태양전지의 효율 및 생산단가를 충족시키는 것에 연구의 목적이 집중되어 있다. 이러한 사항을 만족시키기 위하여 대면적 PECVD기반의 플라즈마 소스를 적용하려는 연구가 진행되고 있으며 결정질의 실리콘 박막 증착에 있어서 다중접합 태양전지 기준으로 효율 10% 내외를 유지하면서 결정질 기준 증착속도 0.5 nm/sec의 성과를 보이고 있다. 하지만 단위 가격 당 전력 생산 단가의 경쟁력을 확보하기 위하여 증착속도의 고속화에 대한 연구가 더욱 진행되어야 한다. 본 연구에서는 새로운 플라즈마 방전 개념으로서 Gas의 분사되는 Jet을 plasma에 통과시켜 증착속도의 향상을 도모하는 plasma 소스를 제시하였다. 새로운 방전 개념을 이용하여 다양한 공정조건인 압력(3~8 torr), Gas ratio([SiH4]/[H2]), RF power에서의 Plasma의 특성을 확인 하였으며 해당 조건에서의 박막 특성을 확인하여 비정질 기준 3 nm/sec, 결정질 기준 결정화도 약 70%의 조건에서 증착속도 2 nm/sec의 결과를 확인하였다. 또한 해당 조건에서의 효율 및 FF,
$V_{oc}$ ,$I_{sc}$ 를 확인하여 태양전지로서의 적용가능성을 확인하였다. 마지막으로 해당소스의 대면적 적용가능성을 확인하기 위하여 대면적 plasma 개념의 모델중 하나인 In-line 개념의 plasma source로서의 적용 가능성을 제시하였다. -
A nonthermal bioplasma source was developed for application to human liquid fluids by making use of nano-size tungsten tips. Characteristics of the plasma source are investigated. Here we have used the AC voltage system. The bioplasma source generated by a tungsten tip with quartz tube and ground electrode is a low-temperature plasma without making any noticeable damage to cells at a low power operation. The breakdown voltage and current signals are measured by high voltage probe (Tektronix P6015A) and current probe (P6021). Variation of breakdown temperature near the tip electrode is larger than that in the neighborhood of ground electrode. Bubble formation during discharge has been recorded and investigated by using the high speed camera. The existence and behavior of hydroxyl and superoxide radicals are detected and measured by spectrometers. The electrical and optical properties of breakdown characteristics are also investigated.
-
전기장 E와 자기장 B가 서로 수직으로 인가된 플라즈마에서 전자와 이온의 이동 현상은 이미 널리 알려져 있다. 그런데 최근 플라즈마 응용 산업의 발달에 따라 음이온을 포함한 플라즈마에서 나노미립자의 운동에 대한 해석이 필요하다. 특히 실리콘 박막의 에칭, 스퍼터링, PECVD 등의 공정에 사용되는 실란 플라즈마에서 음이온의 발생에 따른 오염은 주요한 문제가 된다. 따라서 본 연구에서는 이러한 음이온을 제거하기 위해 E
${\times}$ B 드리프트 운동을 이론적으로 계산 하였다. 결과적으로 음으로 대전된 나노미립자는 E${\times}$ B 드리프트 운동의 반대 방향으로 이동 하였고, 드리프트 속도는 자속밀도가 증가함에 따라 함께 증가됨을 보였다. 따라서 서로 수직으로 인가된 전자기장에 의한 E${\times}$ B 드리프트 운동을 통해 음이온을 방전 공간에서 제거할 수 있음을 알 수 있었다. -
We measured thin films thickness sputtered from Cu target in the magnetron sputter system. Thin films thickness is thin as integration power in target is large. Cu thin films thickness in 100 kWh integration watt was decreased by 20% when that of beginning was compared. But the shape of thin films thickness distribution was same. For the calculation of thin films thickness distribution in the 100 kWh, the angular distribution data sputtered of Cu particles is necessary when Ar ions enter to inclined erosion surface of Cu target. We used the relation results of sputter yield and main angular distribution of sputtering particles emitted from Cu target published by G. Betz.
-
희토류 이온이 첨가된 형광체는 조명, 정보 디스플레이, 태양 에너지 변환 소자에 응용 가능하기 때문에 상당한 주목을 받고 있다. 특히, 결정 입자의 형상과 크기는 산업체 응용에 있어서 중요한 변수 중의 하나이다. 구형의 형광체 입자는 형광층의 광학 및 기하학적 구조를 최적화 시킬 수 있고, 결정 입자의 크기는 양질의 코팅을 위해 필요한 결정 입자의 양에 영향을 미친다. 본 연구에서는,
$YVO_4$ 모체 결정에 Eu 이온의 농도를 선택적으로 주입하여 발광 효율이 높은 적색 형광체를 합성하고자 한다. 형광체 분말 시료는 활성체인 Eu의 함량을 0.00, 0.05, 0.10, 0.15, 0.20 mol로 변화시키면서 고상 반응법을 사용하여 합성하였다. 볼밀링 작업을 수행한 후에, 60$^{\circ}C$ 에서 20시간 건조하였고, 잘게 갈아서 체로 걸러낸 다음에 세라믹 도가니에 넣고 전기로에서 서서히 온도를 승온시켜 500$^{\circ}C$ 에서 10시간 동안 하소를 실시한 후에 1,100$^{\circ}C$ 에서 5시간 동안 소결하였다. Eu 이온의 함량비를 변화시켜 합성한$YVO_4$ : Eu 형광체 분말 시료의 발광 세기의 변화, 결정 구조와 표면 형상을 각각 PL과 PLE, XRD, FE-SEM 장치를 사용하여 측정한 결과들을 종합해 볼 때, Eu 이온의 비가 0.15 mol일때 발광 세기가 최대값을 나타냄을 알 수 있었으며, 더욱 Eu의 함량을 증가시키자 농도 억제 현상에 의하여 발광 세기는 급격히 감소함을 보였다. SEM으로 촬영한 결정 입자의 형상의 경우에, Eu 이온의 함량비가 증가함에 따라 결정 입자들이 더욱 조밀하게 구형에 가까운 형상을 나타냄을 관측할 수 있었다(Fig. 1). 형광체 분말의 형광 스펙트럼의 경우에, 619 nm에 주 피크를 갖는 적색 형광 스펙트럼들이 관측되었으며, Eu 이온의 함량비에 따라 형광 세기는 상당한 의존성을 나타내었다(Fig. 2). Eu 함량에 따른 결정입자의 크기, 형광 세기와 회절 피크의 반치폭 사이의 상관 관계를 제시하고자 한다. -
The extreme ultraviolet (EUV) radiation, whose wavelength is from 120 nm down to 10 nm, and the energy from 10 eV up to 124 eV, is widely utilized such as in photoelectron spectroscopy, solar imaging, especially in lithography and soft x-ray microscopy. In this study, we have investigated the plasma diagnostics as well as the debris characteristics between the two types of dense plasma focusing devices with coaxial electrodes of Mather and hypocycloidal pinch (HCP), respectively. The EUV emission intensity, electron temperature and plasma density have been investigated in these cylindrical focused plasma along with the debris characteristics. An input voltage of 5 kV has been applied to the capacitor bank of 1.53 uF and the diode chamber has been filled with Ar gas at pressure ranged from 1 mTorr and 180 mTorr. The inner surface of the cathode was covered by polyacetal insulator. The central anode electrode has been made of tin. The wavelength of the EUV emission has been measured to be in the range of 6~16 nm by a photo-detector (AXUV-100 Zr/C, IRD). The visible emission has also been measured by the spectrometer with the wavelength range of 200~1,100 nm. The electron temperature and plasma density have been measured by the Boltzmann plot and Stark broadening methods, respectively, under the assumption of local thermodynamic equilibrium (LTE).
-
We have investigated the breakdown properties in liquids by high voltage pulse system. High voltage pulse power system is consisted of the Marx-generator with two capacitors (0.5
${\mu}F$ , withstanding voltage is 40 kV), to which the charging voltage can be applied to maximum 30 kV DC, spark gap switch and charging resistor of 20$M{\Omega}$ . We have made use of tungsten pin electrodes of anode-cathode (A-K), which are immersed into the liquids. The breakdown voltage and current signals are measured by high voltage probe (Tektronix P6015A) and current monitor (IPC CM-1.S). Especially the high speed breakdown or plasma propagation characteristics in the pulsed A-K gap have been investigated by using the high speed ICCD camera. We have measured the electron temperature through the Boltzmann plot method from the breakdown spectrums. Here the A-K gap has been changed by 1 mm, 2 mm, and 3 mm. The used liquids are distilled water and solution of salt (0.9 %). The output voltage and current signals at breakdown in distilled water are shown to be bigger than those in saline solution. The breakdown voltage and current characteristics in liquids will be discussed in accordance with A-K gap distances. It is also found that the electron temperatures and plasma densities in liquids are decreased in conformity with A-K gap. -
본 연구는 바늘 형 전극을 가진 대기압 방전 Plasma jet에서 Capacitor 값의 변화를 주어 방전 전압-전류 특성을 찾고자 한다. 유리관 내부의 주사기 바늘에 교류 고전압을 인가하였고, inverter와 고전압 전극 사이에 5.0 pF, 7.5 pF, 13.5 pF, 27.0 pF 다섯 가지의 Capacitor를 연결하였다. 작은 Capacitor 값을 연결했을 때, 방전 개시 전압이 낮았다. 또한, 같은 구조의 Plasma jet를 알루미늄 판에 조사하여 전압과 전류의 변화를 알아보았다. 유리관 밖으로 방출되는 플라즈마 제트를 알루미늄 판에 조사했을 때, Output Voltage와 Output Current가 감소하는 경향을 보였다.
-
곰팡이에 의한 감염과 바이오 필름 형성이 우리 인간에게 매우 유해하다는 것은 익히 알려진 바이다. 일례로, 이는 우리가 먹는 음식을 오염시키고 치아를 상하게 하며 수도관을 부식시키고 또 우리 몸속에 집어넣는 의료기기에서 자라 심각한 질병을 유발시키는 등 여러가지 문제를 일으킨다. 곰팡이 감염과 바이오 필름 형성을 막기 위해 항생제 및 화학물질 등을 이용하는 방법들이 있으나 저항성이 증가된 균주의 출현, 환경오염 등의 문제점을 유발시키고 있다. 따라서 좀더 지속적이고 환경친화적인 기술개발이 요구되어지고 있다. 강한 살균력이 있다고 알려져 있는 대기압 플라즈마는 이러한 기술개발에 적용해볼 필요가 있다. 본 연구에서는 상온 대기압 플라즈마를 만들어 내는 여러 타입의 장치를 이용하여 곰팡이 살균과 이스트 바이오필름 형성 저해에 대한 조사를 하였고 플라즈마 처리에 따른 곰팡이 세포들의 세포학적 분자생물학적 영향에 대해 조사해 보았다. 대기압 상온 플라즈마 제트는 대기중에서 방안의 온도로 플라즈마가 생성되며 이를 페트리디쉬위에 접종된 이스트(Saccharomyces cerevisiae) 위 10 mm에서 조사한 결과 2분 이상의 처리를 했을 때 바이오 필름형성을 하지 못함을 보였고 곰팡이(Neurospora crassa)포자에 처리했을 때는 처리시간에 비례하여 발아하는 포자수가 감소하고 포자의 모양도 수축되는 것으로 관찰되었다. 대기압 플라즈마 제트는 대면적이 아닌 국부적 살균으로 살균력은 보이나 그 효율성이 낮아 이를 보완하기위해 DBD 형식의 플라즈마 장치를 만들었으며 이는 페트리디쉬의 모든 면을 동시에 조사할 수 있는 장점이 있다. 다만 제트와는 달리 플라즈마의 생성구간이 넓고 얇아 시료와 2~3 mm 간격을 띄우고 조사하였다. 제트와 마찬가지로 살균의 효과를 보였으며 기존의 단점을 극복하여 동시에 더 많은 면적을 조사 할 수 있게 되었다. 이를 통해 플라즈마의 살균능력을 직접 확인하였고 앞으로 더 많은 실험과 연구를 통해 일련의 과정들에 대한 원인을 규명하고자 한다.
-
AMOLED에 대한 관심이 높아짐에 따라 LTPS (Low Temperature Poly Silicon) TFT에 대한 연구가 활발히 이루어지고 있다. 다결정 실리콘은 단결정 실리콘에 비해 100 cm2/V 이상의 이동도를 보이는 우수한 특성으로 인해 AMOLED 디스플레이에 적합하며 여러 기업에서 LTPS 공정을 이용한 TFT제작을 연구 중이다. LTPS 공정은 현재 ELA (Excimer Laser Annealing) 방식으로 대면적 유리기판에 ELA 방법을 적용함에 있어 설비투자 비용이 지나치게 높아진다는 단점을 가지고 있다. 설비투자 비용의 문제점을 해결하기 위해 Diode Laser을 이용하여 Annealing하는 방법에 대해 연구하였다. 본 연구는 Diode Laser Annealing 방식을 이용하여 poly-Si을 구현하였다. 단결정 실리콘을 제작하기 위해 ICP-CVD장비를 이용하여 150
$^{\circ}C$ 에서 SiH4, He2 혼합, He/SiH4의 flow rate는 20/2[sccm], RF power는 400 W에서 700 W으로 가변, 증착 압력은 25mTorr으로 하였다. 940 nm 파장의 30 W Diode Laser를 8 mm Spot Size로 a-Si에 순간 조사하여 결정화, 그 결과 grain을 형성한 polycrystalline 구조를 확인하였다. -
Park, Gyung-Soon;Baik, Ku-Yeon;Kim, Jung-Gil;Kim, Yun-Jung;Lee, Kyung-Ae;Choi, Eun-Ha;Uhm, Hwan-Sup;Jung, Ran-Ju;Cho, Kwang-Sup 337
Application of plasma technology on microbial sterilization has been frequently studied. In spite of accumulating number of studies, many have been focused on bacteria. Reports on eukaryotic yeasts and filamentous fungi are limited. In addition, mechanism of plasma effect still needs to be clarified. In this study, we analyzed the effect of non-thermal atmospheric pressure plasma on the budding yeast, Saccharomyces cerevisiae using DBD-type device. When yeast cells were exposed to plasma (at 2 mm distance) and then cultured on YPD-agar plate, number of cells survived (shown as colony) were reduced proportionally to exposure time. More than 50% reduction in number of colonies were observed after twice exposure of 5min. each. Colonies much smaller than those of control (no plasma exposure) were appeared after twice exposure of 5 min. each. It seems that small colonies are resulted from delayed cell growth due to the damage caused by plasma treatment. Microscopic analysis demonstrates that yeast cells treated with plasma for 5 min. twice have more rough and shrinked shape compared to oval shape with smooth surface of control. -
최근 FPD (Flat Pannel Display) 시장이 커짐에 따라 고효율, 저비용 제작 공정이 화두로 떠오르고 있다. ELA (Excimer Laser Annenling)을 이용한 LTPS (Low Temperature Poly Silicon) 공정은 mobility와 전류 점멸비 등에서 장점을 가지지만, 고비용, 대면적과 short-range에서 uniformity가 어렵다는 단점이 있다. 이를 극복하기 위한 방법으로 dLTA (diode Laser Thermal Annealing) 공정에 대한 연구가 진행되고 있다. 본 연구에서는 Flexible Display을 만들기 위한 방법으로 dLTA 공정을 진행하였다. 이 방법은 PI (Poly imide) 기판 위에 a-Si을 ICP CVD로 증착시킨 후, Diode Laser (980 nm)를 이용한 annealing을 통하여 a-Si이 poly-Si으로 결정화가 되는 것을 확인하였고, 에너지 조사량에 따른 grain boundary와 grain size을 통하여 비교 분석하였다. 실험 결과 ELA 공정을 이용한 것과 버금가는 실험 결과를 얻을 수 있었다.
-
진공 플라즈마와 달리 개방된 공간에서 방전되는 대기압 플라즈마는 진공상태에서 수행되는 에칭, 증착 등의 복잡한 플라즈마 공정을 경제적이고 신속하게 수행할 수 있어, 최근 들어 연구가 활발히 진행 중이다. 이와 관련하여 He, Ar,
$N_2$ ,$O_2$ , Air 등의 여러 종류의 기체를 50 kHz 고전압에서 방전하여 대기 중에서 저온 플라즈마 공정이 가능한 아크젯 타입의 플라즈마 소스를 개발하였다. 개발된 플라즈마 소스에서는 입력전압, 기체유량, 노즐의 구조와 크기 등의 여러 운전변수에 따라 플라즈마의 방전특성이 변화되었다. 특히 본 연구에서는 아크젯의 플라즈마 발생부의 물질성분(SUS, Aluminum, Cupper)에 따른 플라즈마의 기체온도 및 전자여기 온도의 변화를 광방출분광법(OES)를 이용한 Synthetic spectrum method와 Boltzmann plot method을 통해 살펴보았다. 전압-전류 특성곡선, 시간분해 이미지 촬영법, 기체온도 측정법 등을 이용하여 발생된 플라즈마의 물리적인 특성을 분석하였다. 특히 물질의 성분에 따라 발생되는 플라즈마의 기체 및 전자여기 온도가 이차 전자 방출계수 및 물질의 전도도와의 상관관계가 있는지 연구가 진행 중이다. -
플라즈마 공정에서의 생산률이 플라즈마의 밀도에 비례한다는 많은 연구가 이루어진 후, 초대면적 고밀도 플라즈마 소스의 개발은 플라즈마 소스 개발에서 중요한 부분을 차지하기 시작하였다. 이로 인해, 전자 공명 플라즈마, 유도 결합 플라즈마와 헬리콘 플라즈마 등 새로운 고밀도 플라즈마 개발 연구가 활발히 진행되고 있다. 최근에는 고밀도 플라즈마 개발과 더불어, 대면적 플라즈마 소스의 개발이 플라즈마 공정 기술의 중요한 이슈가 되고 있는데, 이는 450 mm 이상의 반도체, 2 m
${\times}$ 2 m 이상의 8세대 평판 디스플레이와 1 m${\times}$ 1 m 태양광 전지 생산 공정에서 플라즈마의 기술이 요구되고 있기 때문이다. 대면적 공정영역의 이러한 경향은 균일한 대면적 고밀도 플라즈마 개발을 촉진시켜왔다. 밀도가 낮은 축전 결합 플라즈마를 제외한, 대면적 공정에 적합한 고밀도 플라즈마원으로 유도 결합 플라즈마와 헬리콘 플라즈마를 선택한 후, 병렬연결 시의 특성을 알기 위하여 ICP와 헬리콘의 단일 튜브와 다수 튜브의 플라즈마 내부, 외부 변수를 측정하여 조사하였다. 두 가지 플라즈마 소스의 비교 실험을 위하여, 자기장을 제외한 모든 조건을 동등하게 한 후 실험을 하였다. 단일 헬리콘 실험을 바탕으로, 대면적 실험에 가장 적합한 자기장의 세기, 자석의 위치 및 튜브의 치수를 정한 후, fractal 구조를 위한 16개 다수 방전을 ICP와 헬리콘을 비교하였다. 병렬연결 시, RF 플라즈마에서는 같은 전압을 가져도, 안테나 디자인을 고려하지 않으면 모든 튜브의 방전이 이루어 지지 않았다. 이를 컴퓨터 모의 전사를 통해 확인하고, 가장 최적화된 안테나를 설계하여 실험을 하였다. ICP에서는 모든 튜브가 방전에 성공한 반면, 헬리콘 플라즈마는 ICP에 10배에 달하는 높은 밀도를 냈으나, 오직 4개 튜브만이 켜지고 안정적으로 방전이 이루어 지지 않았다. ICP의 경우, RF 전송선의 디자인을 통해 파워의 균등 분배가 가능하지만, 헬리콘의 경우 자기장을 추가해서 고려해야 되는 것을 확인하였다. 모든 튜브에 비슷한 자기장을 형성하기 위해서는 자석의 크기가 커지는 문제점이 있으나, 매우 낮은 압력에서 방전이 가능하고, 같은 압력에서 ICP에 비해 10배 이상 달하는 장점이 있다. 실험 결과를 바탕으로, ICP와 헬리콘 플라즈마의 다수 방전에 대한 분류를 하였고, 바로 현장에 투입이 가능한 소스로 판단된다. -
최근 AMOLED 구동이 가능한 소자에 대한 연구가 활발히 진행중이다. AMOLED구동 가능소자는 LTPS TFT, a-Si TFT, OTFT, Oxide TFT가 있으며 그 중에서 현재 대부분 LTPS TFT를 사용하고 있다. LTPS TFT는 높은 전자 이동도와 안정성을 가지고 있기 때문에 현재 각광 받는 AMOLED에 잘 맞는다. 하지만 LTPS TFT는 고비용, 250
$^{\circ}C$ 이상의 공정온도, Substrate가 Glass, Metal로 제한 된다는 문제점이 있으며, 균일성이 낮고 현재 대면적 기술이 부족한 상태이다. 해결방안으로 AMOLED를 타겟으로 하는 Oxide TFT 기술이 떠오르고 있다. Oxide TFT는 이동도가 높고 저온공정이 가능하며 Substrate로 Plastic 기판을 사용할 수가 있어 차후에 Flexible 소자로서의 적용이 가능하다. 또한 기존의 진공장비 사용대신 용액공정이 가능하여 장비사용시간 및 절차를 단축시킬 수 있어 비용적인 유리함을 가지고 있다. Oxide TFT는 단결정 산화물과 다결정 복합 산화물 두 가지 범주를 가지고 있다. Oxide TFT의 재료물질은 ZnO, ZTO, IZO, SnO2, Ga2O3, IGO, In2O3, ITO, InGaO3(ZnO)5, a-IGZO이 있다. 본 연구에서는 산화물질 중 하나인 ZTO를 이용하여 TFT 소자를 제작하였다. 산화물 특성상 열처리 온도에 따라 형성되는 결정의 정도가 다르기 때문에 온도 및 시간 변수에 따른 ZTO의 특성변화에 초점을 맞추어 연구함으로서 최적화된 조건을 찾고자 실험을 진행하였다. 실험을 위한 기판으로 n-type wafer을 사용하였다. PE-CVD 장비를 이용하여 SiNx를 120 nm 증착하고, ZTO 용액을 spin-coating을 이용하여 channel layer을 형성하였다. 균일하게 형성된 ZTO의 결정을 위하여 200$^{\circ}C$ , 300$^{\circ}C$ , 400$^{\circ}C$ , 500$^{\circ}C$ 에서 1시간, 3시간, 6시간, 10시간의 온도 및 시간 변수를 두어 공기 중에서 열처리 하였다. ZTO는 약 30 nm 두께로 형성되었다. Thermal evaporator를 이용하여 Source, Drain의 알루미늄 전극을 형성하고, wafer 뒷면에는 Silver paste를 이용하여 Gate전극을 만들었다. 제작된 소자를 dark room temperature에서 측정하였다. -
산화막은 반도체 공정 중 가장 핵심적이며 기본적인 물질이다. 반도체 소자에서 내부의 캐리어들의 이동을 막고 전기를 절연시켜주는 절연체로서 역할을 하게 된다. 실제로 제작된 산화막에서는 dangling bond 혹은 내부에 축적되는 charge들의 의해 leakage가 생기게 되고 그에 따라 산화막의 특성은 저하되게 된다. 내부에서 특성을 저하시키는 defect을 감소시키기 위해 Plasma Treatment에 따른 특성변화를 관찰하였다. 본 연구에서는 최적화 시킨 Flexible TFT제작을 위해 저온에서 Silicon Oxide로 형성한 Gate Insulator에 각각 N2O, H2, NH3가스를 주입 후 Plasma처리를 하였다. 특성화 시킨 Gate Insulator를 이용하여 MIS(Metal-Insulator-Semiconductor)구조를 제작 후 C-V curve특성변화, Dit의 감소, Stress bias에 따른 stability를 확인 하였다.
-
저온에서의 Thin Film Transistor (TFT) 혹은 Nonvolatile memory (NVM) 등의 MOS 구조 소자들의 높은 전기적 특성에 관한 연구들이 진행 되면서 mobility와 stability 그리고 구조화의 용이성에 대한 연구가 진행됨에 따라 amorphous silicon의 결정화를 통해 전기적 특성을 향상 시킨 Nanocrystalline silicon (nc-Si)/Microcrystalline silicon (
${\mu}c$ -Si)에 대한 연구가 관심을 받고 있다. 본 논문에서는${\leq}300^{\circ}C$ 에서 Inductively coupled plasma chemical vapor deposition를 이용한 TFT을 제작하였다. 가스비, 온도, 두께에 따른 결정화 정도를 Raman spectra를 통해 확인한 후 Bottom gate와 Top gate 구조의 TFT를 제작 하고 결정화에 따른 전기적 특성 향상과 그의 덧붙여 플라즈마 처리를 통한 특성 향상을 확인 하였다. -
진공인터럽터는 진공차단기에서 실제 사고전류차단시 발생하는 아크소호 및 차단을 담당하는 매우 중요한 부분이다. 일반적으로 교류차단기는 일시적으로 에너지가 공급되지 않는 전류 영점에서 아크소호 및 전류차단이 이루어진다. 일반적으로 아크가 발생하는 전극분리시점에서 아크가 소호되는 전류영점까지의 시간을 아크지속시간(arcing time)이라고 한다. 일단 진공 인터럽터 내부의 접점이 분리됨과 동시에 아크가 발생하게되면 이때 진공인터럽터는 아크지속시간동안 고온의 아크에 의한 접점손상을 최소화하기 위해 아크의 거동을 적절히 제어하여 아크에너지를 접점표면상에 골고루 분산시켜야 한다. 현재 진공인터럽터에 사용되는 아크제어 방식에는 크게 횡자계 방식과 축자계 방식이 있다. 본 논문에서는 횡자계 방식 중 spiral type 접점을 사용하여 25 kA의 전류차단시험을 진행하면서 아크전압을 취득하는 동시에 아크이미지를 촬영하여 아크지속시간동안의 아크의 거동을 분석하였는데 특히 본 실험에서는 전체 아크지속시간동안의 아크상태천이과정이 가장 잘 관찰되는 비교적 긴 아크지속시간을 설정하여 실험을 진행하였으며 이때 접점손상이 아크거동 및 차단성능에 미치는 영향을 중점적으로 다루었다.
-
최근 대기압 플라즈마 젯을 이용한 바이오/메디컬의 활발한 응용연구가 진행 중이다. 박테리아 및 세균의 살균은 물론 암세포 세포예정사에 핵심적인 역할을 하는 활성산소종(Reactive Oxygen Species, ROS) 또는 다양한 라디칼들은 대기압 플라즈마의 다양한 변수를 이용하여 조절할 수 있다고 알려져 있다. 수십 kHz의 고전압에서 발생된 마이크로 헬륨 플라즈마 젯에서 질소종의 제어를 통해 같은 부피의 플라즈마 젯에서의 방출광을 살펴보았다. 또한 광섬유센서를 이용하여 플라즈마의 기체온도를 측정하고 Boltzmann plot method를 통해 전자의 여기온도 변화를 관찰하였다. 실험의 결과, 같은 부피의 플라즈마에서 질소종이 증가할 때 기체온도는 큰 변함이 없지만 여기온도가 증가하는 것을 관찰하였다. 시간분해 이미지 촬영으로 질소종의 양에 따른 플라즈마 불릿의 속도 변화를 분석을 하였고, 최종적으로 대기압 플라즈마 젯의 질소종 변화에 따른 대장균의 비활성화 정도를 관찰하였다.
-
실리콘 나노와이어는 높은 표면적으로 인해 뛰어난 감지 능력을 가지는 재료 중 하나로 다양한 센서 응용 분야에 사용되고 있다. 이를 제작하는 방법에는 Micro Electro Mechanical Systems (MEMS) 공정을 이용한 Top-down 방식과 Vapor-Liquid-Solid (VLS) 공정을 이용한 Bottom-up 방식이 널리 사용되고 있다. 특히 Plasma-Enhanced Chemical Vapor Deposition(PECVD)와 Au 촉매를 이용한 Bottom-up 방식은 수십 나노미터 이하의 실리콘 나노와이어를 간단한 변수 조절을 통해 성장시킬 수 있다. 또한 Au/Si의 공융점인 363
$^{\circ}C$ 보다 낮은 온도에서$SiH_4$ 를 분해시킬 수 있어 열적 효과로 인한 손실을 줄일 수 있는 장점을 지니고 있다. 하지만 PECVD를 이용한 실리콘 나노와이어 성장은 VLS 공정을 통해 표면으로부터 수직으로 성장하게 되는데 이는 센서 응용을 위한 전극 사이의 수평 연결 어려움을 지니고 있다. 따라서 이를 피하기 위한 표면 성장된 실리콘 나노와이어가 요구된다. 본 연구에서는 PECVD VLS 공정을 이용하여$HAuCl_4$ 를 촉매로 이용한 표면 성장된 Tree-like 실리콘 나노와이어를 성장시켰다. 공정가스로는$SiH_4$ 와 이를 분해시키기 위해 Ar 플라즈마를 사용 하였고 웨이퍼 표면에 HAuCl4를 분사하고 고진공 상태에서 챔버 기판을 370$^{\circ}C$ 까지 가열한 후 플라즈마 파워(W) 및 공정 압력(mTorr)을 변수로 두어 실험을 진행하였다. 기존의 보고된 연구와 달리 환원된 금 입자 대신$HAuCl_4$ 용액을 그대로 사용하였는데 이는 표면 조도(Surface roughness)를 가지는 Au 박막 상태로 존재하게 된다. 이 중 마루(Asperite) 부분에 PECVD로부터 발생된 실리콘 나노 입자가 상대적으로 높은 확률로 흡착하게 되어 실리콘 나노와이어의 표면성장을 유도하게 된다. 성장된 실리콘 나노와이어는 SEM과 EDS를 이용하여 직경, 길이 및 화학적 성분을 측정하였다. 직경은 약 100 nm, 길이는 약 10${\mu}m$ 정도로 나타났으며 Tree-like 실리콘 나노와이어가 성장되었다. 향후 전극이 형성된 기판위에 이를 직접 성장시킴으로써 이 물질의 I-V 특성을 파악 할 것이며 이는 센서 응용 분야에 도움이 될 것으로 기대된다. -
고주파수평행평판플라즈마소스에서 전기장분포를 맥스웰방정식을 적용하여 해석하였다. 크게 두가지 요인이 전기장분포를 결정하였는데, 변위전류가 우세한 경우는 중심에 전기장이 큰 모양의 분포가 되었고, 전도전류가 주된 경우는 바깥쪽에 전기장이 센 모양을 가졌다. 본 계산에 의하면 전기장분포를 결정하는 또 다른 하나의 요인은 충돌주파수였는데, 충돌주파수에 의해서 전기장분포가 변화되는 것을 확인하였고, 전기장분포를 변화시킬 수 있는 충돌주파수조 건도 본 논문에서 제시할 예정이다.
-
Carbon nanotube (CNT) field effect transistors and sensors use CNT as a current channel, of which the resistance varies with the gate voltage or upon molecule adsorption. Since the performance of CNT devices depends very much on the CNT/metal contact resistance, the CNT/electrode contact must be stable and the contact resistance must be small. Depending on the geometry of CNT/electrode contact, it can be categorized into the end-contact, embedded-contact (top-contact), and side-contact (bottom-contact). Because of difficulties in the sample preparation, the end-contact CNT device is seldom practiced. The embedded-contact in which CNT is embedded inside the electrode is desirable due to its rigidness and the low contact resistance. Fabrication of this structure is complicated, however, because each CNT has to be located under a high-resolution microscope and then the electrode is patterned by electron beam lithography. The side-contact is done by depositing CNT electrophoretically or by precipitating on the patterned electrode. Although this contact is fragile and the contact resistance is relatively high, the side-contact by far has been widely practiced because of its simple fabrication process. Here we introduce a simple method to embed CNT inside the electrode while taking advantage of the bottom-contact process. The idea is to utilize a eutectic material as an electrode, which melts at low temperature so that CNT is not damaged while annealing to melt the electrode to embed CNT. The lowering of CNT/Au contact resistance upon annealing at mild temperature has been reported, but the electrode in these studies did not melt and CNT laid on the surface of electrode even after annealing. In our experiment, we used a eutectic Au/Al film that melts at 250
$^{\circ}C$ . After depositing CNT on the electrode made of an Au/Al thin film, we annealed the sample at 250$^{\circ}C$ in air to induce eutectic melting. As a result, Au-Al alloy grains formed, under which the CNT was embedded to produce a rigid and low resistance contact. The embedded CNT contact was as strong as to tolerate the ultrasonic agitation for 90 s and the current-voltage measurement indicated that the contact resistance was lowered by a factor of 4. By performing standard fabrication process on this CNT-deposited substrate to add another pair of electrodes bridged by CNT in perpendicular direction, we could fabricate a CNT cross junction. Finally, we could conclude that the eutectic alloy electrode is valid for CNT sensors by examine the detection of Au ion which is spontaneously reduced to CNT surface. The device sustatined strong washing process and maintained its detection ability. -
탄소나노튜브의 전자방출 특성을 활용하면 저전압으로 냉전자를 빠른 스위칭 속도로 전자를 용이하게 제어가 가능하다. 이로 인한 고성능 엑스선 소스를 이용하여 의료영상진단과 보안검색 분야에서 많이 사용될 것으로 예상이 된다. 본 연구에서는 고성능 탄소나노튜브 기반 엑스선의 미소초점 형성을 위한 전자 방출 시뮬레이션을 실시하였다. 3극관(애노드, 게이트, 캐소드)에서 2개의 포커싱 전극을 추가한 5극선관의 전자방출 궤적에 대한 시뮬레이션을 진행하였다. 3극관을 구성하여 애노드와 게이트에 일정 전압을 정해준 후, 2개의 포커싱 전극의 전압, 포커싱 전극간의 거리, 그리고 포커싱 전극의 내부직경을 조절함으로써 애노드 상에서의 전자의 초점이 작아지는 것을 알 수 있었다. 마이크로 포커스 엑스레이 소스는 의료영상진단에 있어서 고해상도 의료기기로의 응용이 가능하다.
-
ZnO는 II-VI족 화합물 반도체로서 3.37 ev의 band gap energy와 60 mv의 exciton binding energy를 가지며 차세대 소자로 다양한 분야에서 연구되어지고 있다. ZnO 박막과는 다르게 ZnO nano structure는 효율성과 특성 향상의 이점으로 태양전지와 투명전극 소자에 많은 연구가 되고 있으며 UV 레이저, 가스센서, LED, 압전소자, Field Emitting Transistor (FET) 등 다양한 응용분야에서 연구되고 있다. 본 연구에서는 유리 기판 위에 RF Magnetron sputtering법을 이용해 ZnO buffer layer를 다양한 두께(~1,000
${\AA}$ )로 증착한 뒤, Zn powder (99.99%)를 지름 2inch 석영관 안에 넣어 Thermal furnace장비를 이용하여 Thermal Evaporation법으로 약 500$^{\circ}C$ 에서 30분 동안 촉매 없이 성장 하였다. 수직성장된 ZnO 나노 구조체의 특성을 전계방출주사전자현미경(SEM), X-선 회절패턴(XRD), UV-spectra를 이용하여 분석하였다. SEM 분석을 통하여 ZnO buffer layer위에 성장된 ZnO 나노 구조체는 직경이 약 ~50 nm, 길이가 ~2 um까지 성장을 보였으며, XRD 측정결과, ZnO 우선 성장 방향(002)을 확인하였다. 두 가지 측정을 통하여 ZnO buffer layer의 유무에 따라 성장 특성이 향상되었음을 확인하였으며, 이는 buffer layer가 seed 역할을 한 것으로 사료된다. UV-spectra 측정을 통하여 가시광 영역(400~780 nm)에서 60%대의 투과도를 보여 가시광 영역에서 투명성을 요구하는 전자 소자 및 광소자 등에 적용 가능성을 확인하였다. 이 연구를 통하여 우수한 투과도를 가지며 유리 기판위에 수직성장된 ZnO 나노구조체는 태양전지와 플렉서블 디스플레이 등 다양한 활용 분야를 제시할 수 있다. -
그래핀(Graphene)은 2차원 평면구조의
$sp^2$ 탄소 결합으로 이루어진 물질이다. 일반적으로 그래핀은 탄소 원자 한층 정도의 얇은 두께를 가지면서 강철의 100배 이상 높은 강도, 다이아몬드보다 2배 이상 뛰어난 열 전도성, 그리고 규소보다 100배 이상 빠른 전자이동도 등의 매우 우수한 특성을 지닌다. 그래핀을 합성하거나 얻는 방법에는, 기계적 박리법(Micro mechanical exfoliation), 산화흑연(graphite oxide)을 이용한 reduced graphene oxide(RGO)방법과 탄화 규소(SiC)를 이용한 epitaxial growth 방법 등이 있지만, 대 면적화가 어렵거나 구조적 결함이 큰 문제점이 있다. 반면, 탄화수소(hydrocarbon)를 탄소 공급원으로 하는 열화학 기상 증착법(Thermal chemical vapor deposition, TCVD)은 구조적 결함이 상대적으로 적으면서 대 면적화가 가능하다는 이점 때문에 최근 가장 많이 이용되고 있는 방법이다. TCVD를 이용, 니켈, 몰리브덴, 금, 코발트 등의 금속에서 그래핀 합성연구가 보고되었지만, 대부분 수 층(fewlayer)의 그래핀이 합성되었다. 하지만, 구리 촉매를 이용하는 것이 단층 그래핀 합성에 매우 효율적이라는 연구결과가 보고되었다. 구리의 경우, 낮은 탄소융해도(solubility of carbon) 때문에 표면에서 self limiting 과정을 통하여 단층 그래핀이 합성된다. 그러나 단층 그래핀 일지라도 면저항(sheet resistance)이 매우 높고, 이론적 계산값에 비해 전자이동도(electron mobility)가 낮게 측정된다. 이러한 원인은 구조적 결함에서 기인된 것으로써 산업으로의 응용을 어렵게 만들기 때문에 양질의 단층 그래핀 합성연구는 필수적이다[1,2]. 본 연구에서는 TCVD를 이용하여 구리 포일(25${\mu}m$ , Alfa Aeser) 위에 메탄가스를 탄소공급원으로 하여 수소를 함께 주입하고, 메탄가스의 양과 합성시간, 열처리 시간을 조절하면서 균일한 단층 그래핀을 합성하였다. 합성된 그래핀을$SiO_2$ (300 nm)기판위에 전사(transfer)후 라만 분광법(raman spectroscopy)과 광학 현미경(optical microscope)을 통하여 분석하였다. 그 결과, 열처리 시간이 증가할수록 촉매로 사용된 구리 포일의 grain size가 커짐을 확인하였으며, 구리 포일 위에 합성된 그래핀의 grain size는, 구리 포일의 grain size에 의존하여 커짐을 확인하였다. 또한 동일한 grain 내의 그래핀은 균일한 층으로 합성되었다. 이는 기계적 박리법, RGO 방법, epitaxial growth 방법으로 얻은 그래핀과 비교하여 매우 뛰어난 결정성을 지님이 확인되었다. 본 연구를 통하여 면적이 넓으면서도 결정성이 매우 뛰어난 양질의 단층 그래핀 합성 방법을 확립하였다. -
Titanium dioxide is a suitable material for industrial use at present and in the future because titanium dioxide has efficient photoactivity, good stability and low cost [1]. Among the three phases (anatase, rutile, brookite) of titanium dioxide, the anatase form is particularly photocatalytically active under ultraviolet (UV) light. In fabrication of photocatalytic devices based on catalytic nanodiodes [2], it is challenging to obtain a photocatalytically active TiO2 thin film that can be prepared at low temperature (< 200
$^{\circ}C$ ). Here, we present the synthesis of a titanium dioxide film using TiO2 nanoparticles and sol-gel methods. Titanium tetra-isopropoxide was used as the precursor and alcohol as the solvent. Titanium dioxide thin films were made using spin coating. The change of atomic structure was monitored after heating the thin film at 200$^{\circ}C$ and at 350$^{\circ}C$ . The prepared samples have been characterized by X-ray diffraction (XRD), scanning electron microcopy, X-ray photoelectron spectroscopy, transmission electron microscopy, ultraviolet-visible spectroscopy (UV-vis), and ellipsometry. XRD spectra show an anatase phase at low temperature, 200$^{\circ}C$ . UV-vis confirms the anatase phase band gap energy (3.2 eV) when using the photocatalyst. TEM images reveal crystallization of the titanium dioxide at 200$^{\circ}C$ . We will discuss the switching behavior of the Pt /sol-gel TiO2 /Pt layers that can be a new type of resistive random-access memory. -
ZnO 나노와이어는 밴드 갭이 3.37 ev로 큰 밴드 갭을 갖는 물질이며 엑시톤 결합에너지가 60 meV로 GaN(25 meV)같은 다른 반도체보다 매우 크다. 또한 밴드갭 에너지가 큰 GaN, SiC와 같은 반도체에 비해서 화학적, 열적 안정성이 크며 낮은 온도에서 성장이 가능하다는 장점이 있다. 본 연구에서는 pre-heating process를 이용하여 1차원 구조인 ZnO nanowire를 수열합성법으로 합성하였다. 실험방법으로는 E2K glass 기판위에 AZO40 nm를 증착후, 시드층으로 이용하여 ZnO nanowire를 성장하였다. precusor 전구체에는 ZN(NO3)2
${\cdot}$ 6H2O와 Capping agent으로의 역할을 위해 PEI와 OH-source 공급을 위한 Ammonium chloride를 첨가하여 합성하였고, 그에 따른 ZnO nanowire의 morphology 및 aspect ratio를 조절하고자 하였다. 마지막으로 ZnO 나노와이어의 구조적, 광학적 특성 평가를 하기위해 XRD, FE-SEM, PL 등을 이용하여 측정 하였고, 향후 나노발전기, 태양전지 등 여러 광학기기 등에 전극재료로서 응용 가능성에 대해 알아보고자 하였다. -
이론적으로 단일벽 탄소나노튜브(SWNT)는 무산란 전도가 가능하여 실리콘을 대체할 차세대 나노소자의 기본소재로서 많은 각광을 받아왔다. 이러한 SWNT의 전기전자적 특성을 좌우하는 주요인자로는 직경과 비틀림도(chirality)가 있으며, 이를 제어하기 위한 많은 방법들이 제시되어왔다. 특히, SWNT 합성 시 필요한 촉매 나노입자의 크기와 튜브직경과의 연관성이 제기된 후부터, 합성단계에서 촉매 나노입자의 형태(또는 크기)를 제어함으로써 SWNT의 직경을 제어하고자 하는 직접적인 방법들도 주요방법의 한 축으로 이어지고 있다. 한편, SWNT의 합성촉매로는 철, 코발트, 니켈 등의 전이금속이 주로 사용되어 왔으나, 최근에는 금, 은, 루테늄, 팔라듐, 백금 등의 귀금속에서부터 다양한 금속산화물 나노입자에 이르기까지 그 범위가 확장되었다. 본 연구에서는, 촉매 나노입자의 크기제어를 통하여 SWNT의 직경을 제어할 목적으로, 전이금속에 비해 상대적으로 융점이 낮아 비교적 낮은 온도의 열처리를 통해서도 입자의 크기를 제어할 수 있는 금 나노입자를 선정하여 SWNT의 합성거동을 살펴보았다. 합성은 메탄을 원료가스로 하는 CVD방법을 이용하였고, 합성되는 SWNT의 다발화(bundling) 등을 방지하기 위하여 수평배향 성장을 도모하였으며, 이를 위하여 퀄츠 웨이퍼를 사용하였다. 우선, 콜로이드상인 금 나노입자의 스핀코팅 조건을 최적화하여 퀄츠 위에 단분산(monodispersion) 된 금 나노입자를 얻었으며, 열처리 온도 및 시간의 제어를 통하여, 1~5 nm 범위 내에서 특정 직경을 갖는 금 나노입자를 얻는 것이 가능하게 되었다. 합성 후 금 나노입자의 크기와 합성된 SWNT 직경과의 관계를 면밀히 조사한 결과, 튜브보다 나노입자의 크기가 약간 큰 것을 확인할 수 있었으며, 금 나노입자의 크기에 따라 SWNT의 합성효율이 크게 좌우되는 것을 확인하였다.
-
탄소나노튜브(CNT)는 기계적, 전기적, 열적성질이 매우 우수하여 다양한 응용이 기대되고 있다. CNT를 금속기판에 직접 합성시킬 경우 CNT와 금속기판의 계면에서 높은 전도성 및 물리적 접착 강도를 기대할 수 있어서, 전계방출(field emission) 소자 또는 방열(heat dissipation) 소자 등과 같은 CNT의 높은 전도성과 일차원적 구조를 이용하고자 하는 분야로의 응용가능성을 높일 수 있다. 본 연구에서는 CNT의 합성촉매로 주로 사용되고 있는 니켈을 주요 성분으로 함유하고 있는 Inconel, Hastelloy, Invar 등을 합성기판으로 선정하여, CNT의 합성 거동을 조사하였다. CNT 합성은 CVD방법을 이용하였으며, 아세틸렌가스를 원료가스로 이용하였다. 합성 전 기판의산화 전처리가 CNT합성 효율에 영향을 미치는 것을 확인하였으며, 이를 체계적으로 조사하기 위하여, 다양한 온도(425~725
$^{\circ}C$ ) 구간에서 산화 전처리를 실시한 후 CNT의 합성 거동을 조사하였다. 산화과정에 의한 표면구조의 변화 및 표면에서 금속성분의 재배열이 CNT합성 효율 변화의 원인으로 사료되고 있으며, 이를 분석하기 위해서, AFM, XRD, EDS, SEM, TEM 등을 이용하였다. 본 연구결과는 향후 전자방출소자, X-ray source 및 방열소자 등의 응용에 유용할 것으로 기대된다. -
그래핀은 육각형 구조로 이어진 탄소원자가 단일층을 형성한 현존하는 가장 얇은 나노물질로서, 면상에서의 우수한 전기적 열적 전도도와 화학적 안정성 등으로 많은 주목을 받고 있다. 이러한 그래핀의 우수한 특성들은 뛰어난 기계적 특성 및 높은 광 투과성과 맞물려 향후 플렉서블 투명전도막 등으로의 응용이 기대되고 있는 상태이다. 이러한 그래핀을 얻는 방법에는 물리 화학적 박리법, 산화규소의 흑연화, 열화학기상증착법(CVD) 등 많은 방법들이 존재하는데, 이중 CVD방법이 대면적으로 두께 균일도가 높은 그래핀을 얻는데 가장 적합한 방법으로 알려져 있다. 본 연구에서는 CVD방법을 이용하여 합성한 그래핀을 투명글래스 위에 전사하는 공정을 통하여 김서림방지(antifogging) 필름을 제작하였고, 그 면 발열특성에 대하여 조사하였다. 메탄가스를 원료가스로 합성한 그래핀 투명막은 가시광 영역에서 80% 이상의 투광도와 500~600
${\Omega}/sq$ 정도의 면저항을 나타내었다. 또한 금 나노입자 또는 플라즈마 도핑 등의 후처리 공정을 통하여 면 발열특성의 향상을 도모하였으나 합성상태의 그래핀을 이용하는 것이 가장 우수한 면발열특성을 나타낸 것으로 확인하였다. 본 연구결과는 겨울철 자동차 유리표면의 성에 제거 등의 응용에 유용할 것으로 기대된다. -
탄소원자로 구성된 2차원의 단원자 층의 그래핀은 우수한 기계적 강도, 전기전도도, 화학적 안정성 등의 특성으로 인하여 현재 기초연구 및 응용연구들이 활발하게 진행되고 있다. 일반적으로 그래핀의 물성은 그래핀의 층수, edge 형태, 구조적 defect의 양, 불순물의 양 등에 의해 좌우되는 것으로 알려져 있어, 그 원인들의 영향을 살펴보는 일은 그래핀 물성 제어의 측면에서 매우 중요하다. 한편, 그래핀을 산업적으로 이용하기 위해서는 CVD합성법이나 화학적인 박리법 등과 같은 대량의 그래핀 제조법이 요구되며, 이러한 그래핀들의 산화거동을 알아 보는 것은 향후 산화 분위기에서 사용될 그래핀 응용소자 개발에 유용한 정보가 될 것이다. 본 연구에서는 그래핀 층수에 따른 산화 거동을 연구하기 위하여, 그래핀을 산화시킨 후 Raman 분광법과 AFM 분석을 통하여 광학적, 구조적 변화를 체계적으로 분석하였다. 그래핀은 니켈박막을 촉매층으로 이용한 실리콘 웨이퍼에 메탄가스를 원료가스로 한 CVD법으로 합성하였다. 효율적인 산화처리를 위해 합성한 그래핀은 홈이 있는 기판 위에 전사하여 산화반응시 기판의 영향을 제거하였다. 산화처리는 열 산화처리 및 플라즈마 산화처리로 나누어 각각 실시하였으며, 5분간의 산화처리와 특성평가를 반복적으로 실시하였다. 한편, 층수에 따른 산화 거동을 조사하기 위해서는, 합성한 그래핀 내에 존재하는 단층영역, 수층영역, 다층영역을 지정하여 매회 동일영역을 분석함으로써 산화 거동을 분석하였다.
-
This study reports the H2S gas sensing properties of CuO / ZnO nano-hetero structure bundle and the investigation of gas sensing mechanism. The 1-Dimensional ZnO nano-structure was synthesized by hydrothermal method and CuO / ZnO nano-heterostructures were prepared by photo chemical reaction. Scanning electron microscopy (SEM) and X-ray diffraction (XRD) spectra confirmed a well-crystalline ZnO of hexagonal structure. In order to improve the H2S gas sensing properties, simple type of gas sensor was fabricated with ZnO nano-heterostructures, which were prepared by photo-chemical deposition of CuO on the ZnO nanorods bundle. The furnace type gas sensing system was used to characterize sensing properties with diluted H2S gas (50 ppm) balanced air at various operating temperature up to 500
$^{\circ}C$ . The H2S gas response of ZnO nanorods bundle sensor increased with increasing temperature, which is thought to be due to chemical reaction of nanorods with gas molecules. Through analysis of X-ray photoelectron spectroscopy (XPS), the sensing mechanism of ZnO nanorods bundle sensor was explained by well-known surface reaction between ZnO surface atoms and hydrogen sulfide. However at high sensing temperature, chemical conversion of ZnO nanorods becomes a dominant sensing mechanism in current system. Photo-chemically fabricated CuO/ZnO heteronanostructures show higher gas response and higher current level than ZnO nanorods bundle. The gas sensing mechanism of the heteronanostructure can be explained by the chemical conversion of sensing material through the reaction with H2S gas. -
We demonstrated the fabrication method of superhydrophobic nanocoating through a facile spin-coating and the chemical modification. The resulting coating showed a tremendous water repellency with a static water contact angle (CA) of 158
$^{\circ}$ and a hysteresis of 1$^{\circ}$ . The number of ZnO nanoparticle (NP) coating cycles affected on the surface roughness, which is key role for superhydrophobic surface, and thus the CA can be modulated by changing the ZnO NP coating cycles. The CA can be controlled by changing the carbon length of Self-Assembled Monolayers(SAM). This simple ZnO coating is substrate-independent including flexible surfaces, papers and cotton fabrics, which can effectively be used in various potential applications. We also observed the thermal and dynamic stabilities of SAM on ZnO nanoparticles. The superhydrophobicic surface maintained its superhydrophobic properties below 250$^{\circ}C$ and under dynamic conditions. -
Son, Young-Ho;Jung, Myoung-Hyo;Choi, Seung-Hoon;Choi, Jung-Kyu;Kim, Jin-Ha;Lee, Dong-Min;Park, Joong-Jin;Lee, Jang-Hee;Jung, Eui-Chun;Kim, Jung-Hun 361
Cu(InGa)(SeS2) (CIGS) thin film solar cells have recently reached an efficiency of 20%. Recent studies suggest a double graded band gap structure of the CIGS absorber layer to be a key issue in the production of high efficiency thin film solar cell using by sputtering process method. In this study, Cu(InGa)(SeS2) absorbers were manufactured by selenization and surfulization, we have deposited CIG precusor by sputtering and Se layer by evaporation before selenization. The objective of this study is to find out surfulization effects to improve Voc and to compare with non-surfulization Cu(InGa)Se2 absorbers. Even if we didn't analysis Ga depth profile of Cu(InGa)(SeS2) absorbers, we confirmed increasing of Eg and Voc through surlization process. In non-surfulization Cu(InGa)Se2 absorbers, Eg and Voc are 0.96eV and 0.48V. Whereas Eg and Voc of Cu(InGa)(SeS2) absorbers are 1.16eV and 0.57V. And the efficiency of 9.58% was achieved on 0.57cm2 sized SLG substrate. In this study, we will be discussed to improve Eg and Voc through surfulization and the other method without H2S. gas. -
태양광 발전산업에서 현재 주류인 결정 실리콘 태양전지의 변환효율은 꾸준히 향상되고 있으나, 태양전지의 가격이 매년 서서히 하강되고 있는 실정에서 결정질 실리콘 가격의 상승 등으로 부가가치창출에 어려움이 있으며, 생산 원가를 낮출 수 있는 태양전지 제조기술로는 2세대 태양전지로 불리는 박막형이 현재의 대안이며, 특히 에너지 변환 효율과 생산 원가에서 장점이 있는 것이 CIGS 박막태양전지로 판단된다. 화합물반도체 베이스인 CIGS 박막태양전지는 연구실에서는 세계적으로 20.3% 높은 효율을 보고하고 있으며, 모듈급에서도 13% 효율로 생산이 시작되고 있다. 국내에서도 연구실 규모뿐만 아니라 대면적(모듈급) CIGS 박막 태양전지 증착용 장비, 제조공정 등의 기술개발이 진행되고 있다. CIGSe2를 광흡수층으로 하는 CIGSe2 박막 태양전지의 구조는 여러 층의 단위박막(하부전극, 광흡수층, 버퍼층, 상부투명전극)을 순차적으로 형성시켜 만든다. 본 연구에서 광흡수층은 스퍼터링 방법으로 CIG precusor를 먼저 만들고, 그 위에 증발법으로 Se를 증착한 다음, 열처리 조건으로 CIGSe2 박막태양전지를 제작하였다. 제작된 CIGSe2 박막태양전지는 열처리 조건에 따라서 에너지 변환효율이 3.3에서 9.5%까지 다양하게 측정되었으며, 본 연구의 최고효율이 측정된 디바이스에서 개방전압은 0.48 V, 전류밀도는 33 mA/cm였으며, 그리드 전극을 제외한 디바이스의 면적은 0.57 cm2였다. 본 연구에서는 셀렌화 열처리 조건에 따른 CIGSe2 박막태양전지의 효율 측면을 고려하였지만, 더 높은 에너지 변환효율을 갖기 위해서 좀 더 높은 에너지 밴드갭과 개방전압, 낮은 직렬저항과 높은 shunt 저항 값 등의 상호 의존성에 대해서 연구결과들을 논하고자 한다.
-
태양광 발전산업에서 현재 주류인 결정 실리콘 태양전지의 변환효율은 꾸준히 향상되고 있으나, 태양전지의 가격이 매년 서서히 하강되고 있는 실정에서 결정질 실리콘 가격의 상승 등으로 부가가치창출에 어려움이 있으며, 생산 원가를 낮출 수 있는 태양전지 제조기술로는 2세대 태양전지로 불리는 박막형이 현재의 대안이며, 특히 에너지 변환 효율과 생산 원가에서 장점이 있는 것이 CIGS 박막 태양전지로 판단된다. 화합물반도체 베이스인 CIGS 박막태양전지는 연구실에서는 세계적으로 20.3% 높은 효율을 보고하고 있으며, 모듈급에서도 13% 효율로 생산이 시작되고 있다. 국내에서도 연구실 규모 뿐만 아니라 대면적(모듈급) CIGS 박막 태양전지 증착용 장비, 제조공정 등의 기술개발이 진행되고 있다. CIGSe2를 광흡수층으로 하는 CIGSe2 박막 태양전지의 구조는 여러 층의 단위박막(하부전극, 광흡수층, 버퍼층, 상부투명전극)을 순차적으로 형성시켜 만든다. 이중에 하부전극은 Mo 재료을 스퍼터링 방법으로 증착하여 주로 사용한다. 하부전극은 0.24 Ohm /cm2 정도의 전기적 특성이 요구되며, 주상조직으로 성장하여야 하며, 고온 안정성 확보를 위하여 기판과의 밀착성이 좋아야하고 또한 레이저 패턴시 기판에서 잘 떨어져야 하는 특성을 동시에 가져야 한다. 그리고 CIGSe2의 광흡수층 제조시 셀렌화 공정에서 100 nm 이하의 MoSe2 두께를 갖도록 해야하며, 이는 CIGSe2 박막태양전지의 Rs 값을 줄여 Ohmic 접촉을 향상시키는데 기여한다. 본 연구에서는 CIGSe2 박막태양전지에서 요구되는 하부전극 Mo 박막의 제작과 CIGSe2 박막태양전지 전체공정에 적용후의 MoSe2/Mo 박막특성에 대해서 연구결과들을 논하고자 한다.
-
이성분 산화물인 ZnO/
$TiO_2$ core-shell 나노입자는 core-shell 구조의 특성과 이성분 산화물의 상호작용에 의해서 염료감응형 태양전지의 효율향상을 기대할 수 있다. Znic acetate($Zn_2(CH_3COO)$ )와 Titanium(IV) butoxide($Ti(OBu)_4$ )를 이용하여 ZnO 나노입자를 수열합성하고 그 주의에$TiO_2$ 을 가수분해 반응을 이용하여 둘러싸는 core-shell형태의 물질을 합성하였다. 그 이후 결정성 및 유기물 제거를 위해서 4시간 동안 고온에서 소성하였다. SEM 결과에 따르면 소성 온도를 600도까지 증가시키면 ZnO의 경우 나노입자의 크기가 증가하는 경향을 확인하였다. 하지만 core-shell의 경우는 ZnO의 뭉침현상을$TiO_2$ 이 방해하여 초기합성된 크기와 동일한 크기를 유지하는 것을 확인하였다. 또한 XRD 결과에 따르면 주변에 형성된$TiO_2$ 이외에$Zn_2TiO_4$ 의 spinel 구조를 가지는 물질이 합성되는 것을 확인할 수 있었다. 합성된 core-shell 구조의 나노입자는 약 40~50 nm의 크기를 가지고 600도에서 소성된 입자의 경우 산소 정공이 거의 없는 약 3 eV의 밴드갭을 가지는 물질로 합성이 되었다. Core-shell 나노입자의 경우 염료 감응형 태양전지의 반도체 물질로 응용 가능할 것으로 판단된다. -
태양전지에서 광흡수층으로 널리 쓰이는 CuInSe2은 전기적, 광학적 특성이 우수하고 20%대의 고효율을 기록하며 큰 관심을 받고 있다. 하지만 증발법 및 스퍼터링 등의 기존 진공, 고온 기반 공정 기술은 원천적인 공정비용 절감이 어렵고, 고가의 희귀원소인 In 등의 원료 활용도가 떨어져 실험실 수준에 머무르고 있다. 최근 공정 비용을 최소화와 원료 활용을 극대화를 통해 고효율 CIGS 박막형 태양전지를 제조하기 위해 비진공 방식의 전구체 박막 코팅 및 열처리를 통한 광흡수층 제조에 관한 연구가 활발히 진행되고 있으며, 본 연구는 doctor-blade coating을 이용하여 전구체 박막을 기판 위에 형성하고 열처리 온도에 따른 박막 물성 변화를 관찰함으로써 박막 형성 메커니즘을 밝히는데 주력하였다. 또한 합성된 박막의 전기적, 광학적 특성을 분석하여 태양전지 응용 가능성을 살펴보았다. 본 연구에서는 SEM, XRD, TGA 분석을 통해 Cu, In, Se 전구체들이 각각 binary phase, 즉, Cu2-xSe 및 In2Se3의 metal chalcogenide을 형성하고, 고온에서 서로 결합하여 CuInSe2로 결정화 되는 현상을 관찰하였다. 또한 합성된 CIS 박막은 근적외선 및 가시광 영역에서 높은 광흡수도를 보였으며, 전기적으로 Mo 전극과 ohmic contact을 이룸으로써 CIGS계 태양전지의 광흡수층으로의 적합성을 나타내었다.
-
I-III-VI족 화합물반도체인 CuInSe2(CIS)는 1.02 eV 직접천이형 bandgap을 가지고 있으며 높은 광흡수 계수(
$1{\times}10^5\;cm^{-1}$ )를 가지고 있어 박막형태양전지의 광흡수층으로 많이 사용되고 있다. 특히 저비용, 대면적화, 고효율의 태양전지 구현을 위해 CIS 나노입자를 합성하고 용매에 분산시켜 Ink화하는 연구가 진행되고 있다. 하지만 기존의 CIS 나노입자합성에 사용되는 수열합성법은 독성이 강하고 고비용의 용매를 사용하는 단점을 갖고 있다. 따라서 본 연구에서는 이러한 문제를 해결하고자 수용액기반의 수열합성법과 열처리과정을 통하여 CIS 나노입자를 합성하였다. 합성된 나노입자를 XRD, EDAX, SEM, TEM 분석을 통하여 CIS가 합성된 것을 확인하였다. -
양자점 감응형 태양전지는 가시광 영역을 흡수, 이용할 수 있는 광감응 물질로 무기물 양자점을 사용하며, 이 경우 나노미터 크기의 무기물 양자점으로 인한 양자제한 효과 (quantum confinement effect)에 의해 양자점의 사이즈 조절 만으로 밴드갭을 조절할 수 있어 광학적 특성 조절이 용이하며, 하나의 광자를 흡수하여 두개 이상의 전자-정공쌍을 만들 수 있는 (multiple exciton generation) 가능성이 있어 기존 태양전지가 가지는 이론적 한계효율(Shockley-Queisser limit)을 뛰어넘을 수 있다. 본 연구에서는 양자점 및 염료 감응형 태양전지분야에서 가장 많이 사용되고 있는 TiO2 다공성 필름이 아닌, ZnO 나노선 구조를 이용하여 양자점 감응형 태양전지를 제작하였다. ZnO의 경우 TiO2보다 높은 전자이동도를 가지며, 나노선 구조가 바닥전극까지 수직 연결된 1차원의 전자전달경로를 제공하여 결과적으로 광전자 포집에 유리하다. 또한, CdS, CdSe 양자점을 동시에 사용하여 광흡수 범위를 가시광 전 영역으로 확장하였으며, 계단형 밴드구조를 통해 광전자-정공 분리 및 포집을 용이하게 하였다. 더 나아가 전해질의 조성, 나노선의 길이 등 다양한 부분을 조절하면서 각 변수가 소자의 효율에 미치는 영향을 관찰하였다.
-
ZnO 나노 라드 위에 Quantum dot을 형성하고 최종적으로 TiO2를 Atomic Layer Deposition방법으로 증착하여, 그 passivation 효과가 solar cell의 효율에 미친 영향에 대한 실험을 진행하였다. 암모니아 솔루션을 이용한 Hydrothermal 방법으로 수직한 1차원 형태의 ZnO 나노라드를 TCO 기판 위에 성장시킨다. 여기에 잘 알려진 SILAR와 CBD 방법으로 CdS, CdSe 양자점을 증착한다. 그리고 amorphous TiO2로 표면을 덮는 과정을 거치는데, TiO2가 좁은 간격으로 형성된 ZnO라드 구조 위에서 균일하고 정밀하게 증착되도록 하기 위해 Atomic Layer Deposition을 이용하였다. 사용된 precursor는 Titanium isopropoxide와 H2O이며, 실험상에서 0~5 nm 두께의 TiO2 박막을 형성해 보았다. 다양한 분석 방법을 통해 TiO2/QDs/ZnO의 shell-shell-core 구조를 조사했다. (Scanning Electron Microscopy (SEM), Transmission Electron Microscopy (TEM), X-Ray Diffraction (XRD), and X-ray Photoelectron Spectroscopy (XPS)). 이를 solar cell에 적용하고 I-V curve를 통해 그 효율을 확인하였으며, Electrochemical Impedance Spectroscopy (EIS)를 통해서 재결합 측면에서 나타나는 변화 양상을 확인하였다.
-
본 연구에서는 황화납(PbS)과 황화카드뮴(CdS)을 감응물질로 하는 양자점 감응형 태양전지를 만들고 효율을 측정하였다. Sputter를 이용하여 고진공의 상태에서 산화아연(ZnO) film을 seed layer로 증착한 후 수열합성법으로 ZnO 나노선을 합성한다. 합성된 나노선을 successive ionic layer adsorption and reaction (SILAR) 법으로 PbS, CdS 양자점을 합성하고 이를 주사전자 현미경(SEM), X-선 회절(XRD)을 통해 확인하였다. 또한 PbS와 CdS의 co-sensitizer를 합성하고 diffused reflectance spectra (DRS)를 측정함으로써 넓은 범위의 광흡수도를 확인할 수 있었다. Co-sensitizer의 합성 방법을 달리하여 PbS/CdS를 합성한 후 각각의 효율을 측정해보고, 더 높은 효율을 내기 위한 방안에 대해 고찰하였다.
-
은 나노선은 높은 전자이동도, 유연성이 우수하며 전극으로 사용하였을 때 전자의 수집 및 전달에 용이하여, 태양전지, 디스플레이 소자 등에 적용하기 위해 연구되고 있다. 본 연구에서는 에탄올에 20% 희석된 은 나노선 농도를 조절하고 이를 스핀코팅하여 투명전극을 제작하였다. 제작된 투명전극의 투과율과 면 저항의 최적화를 통해 P3HT : PCBM의 흡수층을 이용한 유기태양전지를 제작하여 태양전지의 특성을 분석하였다.
-
$Cu(In,Ga)Se_2$ (CIGS) 박막 태양전지는 Chalcopyrite계 박막 태양전지로 Cu, In, Ga, Se 각 원소의 조성을 적절히 조절하여 박막을 성장시킨다. 성장시킨 CIGS 박막은 광흡수계수가 105cm-1로 다른 물질 보다 뛰어나고 직접 천이형 반도체로서 얇은 두께로도 고효율의 박막 제작이 가능하다. 얇은 두께로도 충분히 빛의 흡수가 가능하지만, cell 표면 반사에 의한 광 손실은 cell 효율을 떨어뜨리게 된다. 본 연구에서는 CIGS 박막 태양전지의 광 흡수 향상을 위해 굴절률이 1.86인 ITO 위에 ITO보다 굴절률이 작은$MgF_2$ (n=1.377) [1]를 80, 100, 120, 140 nm로 증착하여$MgF_2$ /Al/Ni/ITO/i-ZnO/CdS/CIGS/Mo/SLG 시료를 제작하고, optical reflectance, Quantum Efficiency를 이용하여 분석하였다. optical reflectance 분석 결과,$MgF_2$ AR coating을 한 경우, 두께가 두꺼워짐에 따라 광 반사도가 감소하는 경향을 보였다. 또한 AR coating 두께가 커짐에 따라 fluctuation이 점점 커지며, 파형이 장파장 쪽으로 shift하는 것을 관찰 할 수 있었다. Quantum efficiency (QE)를 분석한 결과$MgF_2$ AR coating 할 경우, 측정된 대부분의 파장에서 QE가 향상되는 것을 확인할 수 있었다. 하지만 AR coating 두께에 따른 변화는 뚜렷한 차이를 보이지 않았다. AR coating 결과, JSC가 증가하여 efficiency가 향상되는 것을 확인 할 수 있다. 그러나$MgF_2$ AR coating 80~140 nm 범위에서 cell 효율 변화의 뚜렷한 차이는 관찰할 수 없었다. -
Cu(In,Ga)Se2 (CIGS) 박막 태양전지는 일반적으로 Soda lime glass/Mo/CIGS/CdS/ZnO/ITO/Al의 구조로 제작된다. 태양전지는 p형과 n형 반도체의 접합에 의해서 동작을 하게 되며, CIGS 박막 태양전지에서는 p형으로 CIGS 박막과 n형으로 CdS 박막이 사용된다. CIGS 박막태양전지에서는 p형과 n형이 서로 다른 물질로 이루어진 이종접합을 이루게 되고, 계면에서의 밴드가 어떻게 형성이 되느냐에 따라 태양전지 성능에 영향을 미치게 된다. p형의 CIGS 박막은 주로 다단계 증발법에 의해 형성되고 3단계 공정조건에 의해 계면의 특성에 많은 영향을 미치게 된다. n형의 CdS 박막은 주로 chemical bath deposition (CBD) 법에 의해 제작된다. 이렇게 제작되는 CBD-CdS는 시약의 농도, pH (수소이온농도), 박막 형성시의 온도 등의 조건에 따라 특성이 변하게 된다. 본 논문에서는 3단계 공정시간을 변화시켜 제작된 CIGS 박막 위에 CBD-CdS 증착 조건 중 thiourea 의 농도를 변화시켜 CIGS 태양전지를 제작하고 그에 따른 특성을 살펴보았다. CIGS 박막은 3단계 공정시간을 490초와 360초로 하여 제작하였고, CdS 박막은 thiourea 농도를 각각 0.025 M과 0.05 M, 0.074 M, 0.1 M로 변화시켜가며 제작하였다. 제작된 CIGS 박막 태양전지는 CIGS 3단계 공정시간과 thiourea의 조건에 따라 최고 15.81%, 최저 14.13%로 나타내었다. 또한, 외부양자효율을 측정하여 제작된 CIGS 박막 태양전지의 파장에 따른 특성을 비교하였다.
-
Cu(In1-xGax)Se2 (CIGS)박막증착법 중 금속 전구체의 셀렌화 공정법은 다른 제조 방법에 비해 대면적 생산에 유리하고, 비교적 공정 과정이 간단하다는 장점이 있다. 이 제조 방법은 금속 전구체를 만든 후에 셀렌화 공정을 하게 된다. 셀렌화 공정은 대부분 H2Se 가스를 사용하지만 유독성으로 사용하는데 주의해야 한다. 본 실험은 H2Se를 사용하지 않고 Se원료를 주입하기 위해 Se cracker를 사용했고 금속 전구체 증착과 셀렌화를 동시에 하는 반응성 스퍼터링 후 열처리 법을 이용하여 CIGS 박막을 증착 했다. CIGS의 박막의 Cu/[In+Ga], Ga/[In+Ga]비를 변화시켜 특성변화를 관찰했다. Cu/[In+Ga]비가 감소할수록 CIGS의 결정방향인 (112) 이 우세하게 발달했고 Ga/[In+Ga]비가 증가할수록 CIGS의 결정면 사이의 값이 작아지기 때문에 CIGS peak의 2-Theta 값이 증가하게 된다. CIGS 박막 태양전지의 구조는 Al/Ni/ITO/i-ZnO/CdS/CIGS/Mo/glass 제작했다. CIGS박막의 조성비가 Cu/[In+Ga]=0.84, Ga/[In+Ga]=0.24인 박막태양전지에서 개방전압 0.48 V, 단락전류밀도 33.54 mA/cm2, 충실도 54.20% 그리고 변환효율 8.63%를 얻었다.
-
I-III-VI2 chalcopyrite compounds, particularly copper, indium, gallium selenide(Cu(InxGa1-x)Se2, CIGS), are effective light-absorbing materials in thin-film solar application. They are direct band-gap semiconductors with correspondingly high optical absorption coefficients. Also they are stable under long-term excitation. CIS (CIGS) solar cell reached conversion efficiencies as high as 19.5%. Several methods to prepare CIS (CIGS) absorber films have been reported, such as co-evaporation, sputtering, selenization, and electrodeposition. Until now, co-evaporation is the most successful technique for the preparation of CIS (CIGS) in terms of solar efficiency, but it seems difficult to scale up. CIS solar cells have been hindered by high costs associated with a fabrication process. Therefore, inorganic colloidal ink suitable for a scalable coating process could be a key step in the development of low-cost solar cells. Here, we will present the preparation of CIS photo absorption layer by a solution process using novel metal precursors. Chalcopyrite copper indium diselenide (CuInSe2) nanocrystals ranging from 5 to 20nm in diameter were synthesized by arrested precipitation in solution. For the fabrication of CIS photo absorption layer, the CuInSe2 colloidal ink was prepared by dispersing in organic solvent and used to drop-casting on molybdenum substrate. We have characterized the nanoparticless and CIS layer by XRD, SEM, TEM, and ICP.
-
Organic solar cells (OSCs) with low cost have been studied to apply on flexible substrate by solution process in low temperature [1]. In previous researches, conventional organic solar cell was composed of metal oxide anode, buffer layer such as PEDOT:PSS, photoactive layer, and metal cathode with low work function. In this structure, indium tin oxide (ITO) and Al was generally used as metal oxide anode and metal cathode, respectively. However, they showed poor reliability, because PEDOT:PSS was sensitive to moisture and air, and the low work function metal cathode was easily oxidized to air, resulting in decreased efficiency in half per day [2]. Inverted organic solar cells (IOSCs) using high work function metal and buffer layer replacing the PEDOT:PSS have focused as a solution in conventional organic solar cell. On the contrary to conventional OSCs, ZnO and TiO2 are required to be used as a buffer layer, since the ITO in IOSC is used as cathode to collect electrons and block holes. The ZnO is expected to be excellent electron transport layer (ETL), because the ZnO has the advantages of high electron mobility, stability in air, easy fabrication at room temperature, and UV absorption. In this study, the IOSCs based on poly [N-900-hepta-decanyl-2,7-carbazole-alt-5,5-(40,70-di-2-thienyl-20,10,30-benzothiadiazole)] (PCDTBT) : [6,6]-phenyl C71 butyric acid methyl ester (PC70BM) were fabricated with the ZnO electron-transport layer and MoO3 hole-transport layer. Thickness of the ZnO for electron-transport layer was controlled by rotation speed in spin-coating. The PCDTBT and PC70BM were mixed with a ratio of 1:2 as an active layer. As a result, the highest efficiency of 2.53% was achieved.
-
ZnO 나노선 구조는 나노선 구조를 통해 입사한 빛을 산란시켜 광흡수를 촉진시키고, 바닥 전극으로 바로 이어진 수직의 1차원 구조를 통해 전자가 빠르게 이동할 수 있으며, 넓은 표면적을 가지고 있는 등의 장점을 가지고 있어 오래전부터 광전소자에 이용되었다. 하지만 ZnO 물질 자체의 밴드갭 에너지가 3.2 eV로 비교적 큰 편이라 가시광 영역의 빛을 흡수, 이용하기 위해서는 작은 밴드갭을 가지는 광감응 물질이 필요하다. 본 연구에서는 저온의 수열합성법을 통해 합성한 ZnO 나노선 구조 상에 Cd 계열의 무기물 양자점을 증착하여 이종구조를 형성하는 방법을 개발하였다. 본 연구에서 사용한 양자점인 CdS와 CdSe는 벌크 밴드갭 에너지가 각각 2.3 eV, 1.7 eV로 가시광 영역의 빛을 흡수할 수 있으며, ZnO 나노선과 type-II 밴드구조를 가지기 때문에 전자-정공 분리 및 포집에 유리하다. 합성된 구조를 이용하여 photoelectrochemical 특성을 분석하였으며, 그 결과 양자점의 증착으로 광전류 생성이 향상됨을 확인하였다. 특히 ZnO 나노선 상에 CdS 양자점 증착 후 추가적으로 CdSe 양자점을 증착하여 다중접합 나노선 구조를 형성한 경우 광전류 생성이 가장 크게 향상된 결과를 확인하였다.
-
차세대 저항메모리(resistive switching random access memory; ReRAM)의 개발을 위해 다양한 산화 물질들의 저항 변화 특성이 연구되고 있다. 본 연구에서는 저항 변화 물질로 잘 알려진 ZnO 박막을 이용하여 저항 변화 특성을 평가하였다. ZnO 박막은 Pt/Ti/
$SiO_2$ /Si 기판 위에 스퍼터링 시스템을 이용하여 약 50 nm 두께로 증착되었다. 증착된 박막 위에 전극을 evaporator를 이용하여 패턴닝함으로써 전극-반도체-전극 구조의 소자를 만들고 이의 전기적 특성을 평가하였다. Compliance current를 설정하여 저항 변화 특성을 측정한 결과 가해진 전압의 극성에 관계 없이 저항이 변화하는, dielectric breakdown에 의해 박막내 전도성 필라멘트라 불리는 전도성 길이 생성되었다가 joule-heating에 의해 필라멘트가 파열되는, 전형적인 unipolar 저항 변화특성이 나타났다. 다기능성 소자 개발을 위해 위 소자 구조를 투명한 고분자 기판위에 형성하고 표면에 초발수성 ZnO 나노막대 구조를 합성하였다. 그 결과 투명하면서 유연하고, 수분에도 안정적인 다기능성 저항 변화 소자 특성을 평가할 수 있었다. 본 결과를 바탕으로 필라멘트 이론에 기초한 저항 변화 메커니즘을 설명하는 모델이 제시되었다. -
ZnO nanorod (NR) arrays prepared via simple ammonia hydrothermal method exhibiting superhydrophilicity, high transmittance and antireflection. These properties result from the unique surface structure and material property of ZnO NR arrays. Highly rough surface due to ZnO NRs enhanced hydrophobicity/hydrophilicity of the films and short NRs (about 300 nm) made ZnO arrays transparent. ZnO NR films were chemically modified by dipping the sample into 5mM stearic acid/ethanol solution for 3 hours. Then the ZnO NRs became superhydrophobic surfaces, whose contact angle reached 159.2
$^{\circ}$ maintaining their high transmittance. These biomimetic ZnO NR arrays can be used in diverse field, such as antifogging/self-cleaning surfaces and optical devices. -
The influence of the water vapor on the growth of single-walled carbon nanotubes (SWCNTs) was investigated. SWCNTs were synthesized by catalytic chemical vapor deposition of acetylene over Fe-Mo/MgO catalyst with injection of water vapor. The morphologies and structures of the water-assisted SWCNTs were investigated according to the growth conditions such as water vapor concentrations, flow rate of the gas, furnace temperature, and growth time. Water-assisted SWCNTs exhibited large bundle morphological features with well-alignment of each CNT, while SWCNTs synthesized in the absence of water vapor showed entangled CNT with the random orientation. We also found that the diameter of the SWCNT bundle could be controlled by the growth condition. In our optimal growth condition, the product yield and the purity were 300 wt. % and 75%, which were 7.5 and 2.5 times higher than those of SWCNTs synthesized without water vapor, respectively. More detail discussion will be offered at the poster presentation.
-
이차원 결정인 그래핀(graphene)은 전하도핑(charge doping)과 기계적 변형에 민감하기 때문에 기판의 물리 및 화학적 구조 및 특성에 따라 그래핀의 물성이 크게 영향을 받는다고 알려져 있다. 특히 널리 사용되고 있는 산화실리콘(
$SiO_2$ /Si) 기판에 존재하는 나노미터 크기의 굴곡과 전하 트랩(charge trap)은 전하 이동도 및 화학적 안정성 등의 면에서 그래핀 고유의 뛰어난 물성을 제한하는 것으로 알려져 있다. 본 연구에서는 비정질 산화실리콘 기판을 대조군으로 삼아 편평도가 높은 결정성 h-BN (hexagonal boron nitride) 기판이 그래핀에 미치는 영향을 관찰하였다. 화학기상증착법(chemical vapor deposition 또는 CVD)으로 성장시킨 그래핀을 각 기판에 전사시킨 후 라만 분광법을 통해 전하 도핑 및 기계적 변형 정도를 측정하였다. h-BN 위에서는 외부 환경에서 기인하는 전하 도핑 정도가 산화실리콘 기판보다 적게 관찰되었다. 또한 h-BN 위에 고착된 그래핀 시료에서는 기판-그래핀 상호작용에서 기인하는 것으로 보이는 새로운 라만 분광 특성이 관찰되었다. -
Generally, field emitters can be categorized into two types according to the emitter shape, one is a planar field emitter and the other is a point emitter. The planar field emitter is used for displays, flat lamps and signage boards. On the other hands, the point field emitter is expected to play a significant role in x-ray sources and electron beam sources. Such applications of the point field emitters, especially, need large emission current and high emission stability with a small electron beam size. A few reports announced point emitters made by carbon nanotubes (CNTs). However, they still have suffered from poor reproducibility and low emission current. Here, we demonstrated high performance CNT point emitters by attaching CNTs onto graphite rod. Graphite rod exhibited good electrical conductivity and chemical stability. In this method, the shape of the point emitter could be easily controlled by changing the length and diameter of the graphite rod. The CNT point emitter showed emission current over 1 mA at an applied electric field of 1.4 V/
${\mu}m$ . We consider that the stable emission performance is attributed to the stable contact between CNTs and graphite rod. -
Carbon nanotubes (CNTs) have been studied as an ideal material for field emitters due to the high aspect ratio, excellent electrical property and good mechanical strength. There were many reports on CNT emitters fabricated on rigid substrates, but rare reports about CNT flexible field emitters. Recently, we considered that CNTs can be a good candidate for a flexible field emitter material because of their excellent Young's modulus and elasticity, which could not be achieved with metal tips or semiconducting nanowire tips. In this work, we demonstrated the CNT flexible field emitters fabricated by a simple method and studied the field emission properties of the CNT flexible field emitters under various bending conditions. The flexible field emitters showed stable and uniform emission characteristics. Especially, there is no remarkable change of the field emission properties at the CNT flexible field emitters according to the bending conditions. The CNT flexible field emitters also exhibited a good field emission performance like the low turn-on field and high emission current. Therefore, we suggest that the CNT flexible emitters can be used in many practical applications under different bending conditions.
-
Ion beam Sputtering (IBS)를 이용한 물질 표면의 pattern 형성은 물리적 변수 조절로 손쉽게 nano structure의 크기와 형태를 조절할 수 있어 관심을 받고 있다. 본 연구발표에서는 massless Dirac Fermion behavior로 인한 highly carrier mobility와 같은 특성으로 인해 차세대 device material로 각광받고 있는 Graphene의 layered compound (층상구조) 형태인 HOPG (Highly Ordered Pyrolysis Graphite)에 IBS (Ion beam Sputtering)를 이용해 nano structure가 형성 가능함을 보이고 그 특징에 대해 소개하려 한다. HOPG(0001)를 Sputter 했을 때, 표면에 잘 정렬된 nano ripple pattern이 형성 가능함을 확인하였으며 sputter하는 시간을 변화하면 약 10 nm에서 80 nm까지 wavelength를 조절할 수 있다. 또한 이전의 IBS를 이용한 연구들에서 확인할 수 있는 다른 물질의 곧게 뻗은 nano ripple과는 다르게 ripple의 끝에 nano swab이 생기는 것을 AFM (Atomic Force Microscope)으로 확인할 수 있었다. 이러한 Graphite에서만 나타나는 Sputter에 의한 표면의 변화의 원인을 규명하고자 Sputter가 지속됨에 따라 나타나는 mopology의 roughness와 wavelength의 시간에 따른 dynamic scaling behavior를 확인하였고 그 얼개를 알기 위해 simulation을 수행 하였다.
-
탄소나노튜브는 큰 길이 대 직경 비와 뛰어난 전기적 특성으로 인해 차세대 전계 방출 소자로 주목 받고 있다. 실질적인 전계방출 디스플레이로의 응용을 위한 대면적 제작과 유리 기판 사용을 위해 이용되었던 페이스트(paste)법은 높은 전기장 하에서 장시간 전계방출시 탄소나노튜브 전계방출원과 페이스트(paste)간의 낮은 접착력 때문에 발생하는 탄소나노튜브의 탈루현상(omission)과 유기물질(organic paste)에서 발생하는 탈기체(out-gassing) 문제점이 있었다. 최근 이런 문제점을 개선하기 위해 유기물질(organic paste)를 대체하여 금속바인더(metal binder) 물질을 사용한 결과들이 보고되고 있다. 본 연구에서는 유리기판 위에 제작된 탄소나노튜브 전계방출원의 수명 향상을 위하여 금속바인더와 후속 열처리법의 변화에 따른 전계방출 안정성을 분석하였다. 금속바인더는 접합층/ 접착층(soldering layer/ adhesive layer)으로 구성되어 있으며, 일반적인 소다석회유리(soda-lime glass)에 스퍼터(DC magnetron sputtering system)를 이용하여 증착하였다. 접착층은 유리기판과 접합층의 접착력 향상을 위해 사용되며, 접합층은 기판과 탄소나노튜브 전계방출원을 접합하는 역할과 전계방출 측정시 전극이 되기 때문에 우수한 전기 전도성과 내산화성을 필요로 한다. 본 실험에서는 일반적으로 유리기판과 접착력이 좋다고 알려진 Cr, Ti, Ni, Mo을 접착층으로 사용하였으며, 접합성과 전기전도성, 내산화성이 뛰어난 귀금속 계열의 금속을 접합층으로 사용하였다. 탄소나노튜브를 1,2-디클로로에탄(1,2-dichloroethane, DCE)에 분산시킨 용액을 스프레이방법을 이용하여 증착시켰으며, 후속 열처리 방법을 통하여 접합층과 결합시켰다. 금속바인더와 후속 열처리법의 변화에 따른 접착력과 표면형상(morphology)의 변화를 주사전자현미경(scanning electron microscopy)를 이용하여 분석하였으며, 다이오드 타입에 디씨 바이어스(DC bias)를 사용하여 전계방출특성을 측정하였다[1,2].
-
탄소 나노튜브(Carbon nanotubes, CNTs)는 육각형 모양의 구조로서 오직 탄소만으로 이루어진 소재이다. CNT는 열전도율이 다이아몬드보다 약 2배 우수하고, 전기 전도는 구리에 비해 1,000배 높으며, 강도는 강철보다 100배나 뛰어나다. CNT의 이러한 특성을 이용한 트랜지스터, 태양전지, 가스 검출을 위한 고감도 센서, 나노 섬유, 고분자-탄소나노튜브 고기능 복합체 등 많은 분야에서 연구가 활발히 진행되고 있다. 또한 수직으로 성장된 탄소 나노튜브는 일반적인 재료에서는 보기 드물게 힘들게 직경이 나노 크기인 반면 길이는 수 mm까지 합성 되기 때문에 앞서 언급한 분야로의 활용이 더욱 유리하며, 그 중에서도 나노 섬유, 나노 복합체로서의 활용에 극히 유용하다. 이러한 이유로 수직 배열된 CNT 합성에 많은 연구가 집중 되고 있다. 여러 합성 방법 중 성장 변수를 비교적 용이하게 조절 가능한 열 화학 기상 증착법(Thermal chemical vapor deposition, TCVD)을 이용하여 수직 배열된 수 mm의 CNT를 합성한 연구 결과들이 보고된 바 있다. 그러나 앞선 연구결과들은 CNT의 성장속도가 느릴 뿐만 아니라 합성 시간이 길어질수록 성장 속도가 감소하는 경향을 보였다. 반면, 마이크로웨이브 플라즈마 화학 기상 증착법(Microwave plasma CVD, MPCVD)은 기존의 다른 TCVD에 비해 낮은 온도에서 CNT를 합성할 수 있는 장점을 가지며, 고출력(~600 W 이상)의 플라즈마를 사용하기 때문에 성장률이 높고 고밀도의 CNT 합성이 가능하다. 본 연구에서는 철을 촉매금속으로 사용하고 MPCVD을 이용하여 얇은 다중벽 CNT를 합성하였다. 철은 직류 마그네트론 스퍼터(D.C magnetron sputter)를 사용하여 증착하였다. 합성시 가스는 탄소 공급원인 메탄(
$CH_4$ )과 함께 플라즈마 공급원인 수소($H_2$ )를 사용하였다. 또한 산소($O_2$ )의 주입 여부에 따른 CNT의 성장 속도와 성장 길이를 비교하였다. 산소를 주입하였을 때, CNT의 성장 속도와 길이 모두 크게 향상됨을 확인 할 수 있었다. 이는 촉매금속 표면의 비정질 탄소의 흡착으로 인해 활성화된 촉매금속의 반응시간을 증가시키기 때문이다. 성장된 CNT는 주사전자 현미경(Scanning Electron Microscopy, SEM)과 라만 분광법(Raman spectroscopy)을 통해 표면형상과 결정성을 분석하였다. -
Graphene, with its unique physical and structural properties, has recently become a proving ground for various physical phenomena, and is a promising candidate for a variety of electronic device and flexible display applications. The physical properties of graphene depend directly on the thickness. These properties lead to the possibility of its application in high-performance transparent conducting films (TCFs). Compared to indium tin oxide (ITO) electrodes, which have a typical sheet resistance of ~60
${\Omega}/sq$ and ~85% transmittance in the visible range, the chemical vapor deposition (CVD) synthesized graphene electrodes have a higher transmittance in the visible to IR region and are more robust under bending. Nevertheless, the lowest sheet resistance of the currently available CVD graphene electrodes is higher than that of ITO. Here, we report an ingenious strategy, irradiation of MeV electron beam (e-beam) at room temperature under ambient condition,for obtaining size-homogeneous gold nanoparticle decorated on graphene. The nano-particlization promoted by MeV e-beam irradiation was investigated by transmission electron microscopy, electron energy loss spectroscopy elemental mapping, and energy dispersive X-ray spectroscopy. These results clearly revealed that gold nanoparticle with 10~15 nm in mean size were decorated along the surface of the graphene after 1.0 MeV-e-beam irradiation. The fabrication high-performance TCF with optimized doping condition showed a sheet resistance of ~150${\Omega}/sq$ at 94% transmittance. A chemical transformation and charge transfer for the metal gold nanoparticle were systematically explored by X-ray photoelectron spectroscopy and Raman spectroscopy. This approach advances the numerous applications of graphene films as transparent conducting electrodes. -
최근 생체분자 구조 연구가 의료진단, 생명 현상 규명 및 의약품 개발 등 다양한 분야에 응용되고 있으나 대부분의 분석방법이 제한적이어서 새로운 기술 개발의 필요성이 증대하고 있다. 종래의 DNA 등의 생체분자의 분석은 형광염료를 이용한 방법이 주로 이용되었다. 형광염료는 단백질을 포함한 여러 물질들에 대해 반응하지 않기 때문에 분석에 제한이 있으며, 이와 같은 단점을 보완하는 방법으로 SPR (surface plasmon resonance) 분석법이 연구되었다. SPR은 형광염료 분석에 필수적인 레이블링(labeling) 등의 전처리 과정 없이 높은 민감도로 분석이 가능한 장점이 있다. 한편, 그래핀은 뛰어난 전자기적 성질과 기계적 성질 을 가지는 반금속(semimetal)으로, 실험실 규모에서 안정적인 합성이 실현되면서 그 응용 분야에 대한 연구가 활발히 이루어 지고 있다. 그래핀은 큰 표면적 대 부피비를 가지며, 이는 검출물질과의 반응성이 좋아야 하는 센서기술에 있어서 장점으로 작용한다. 특히, 비금속성을 띠는 단층 그래핀을 여러 장 겹치면 금속성을 갖게 되기 때문에 SPR 센서의 금속 필름으로 응용이 가능하다. 본 연구에서는 SPR 현상을 이용하는 광섬유 센서의 감도와 정확도를 증진시키기 위해 광섬유 표면에 그래핀을 적용하였다. 광섬유는 상부 피복과 클래딩을 제거하여 코어를 노출시킨 후, 다층 그래핀 필름을 코팅함으로써 검출부를 구성한다. 그 후, DNA-biotin 용액, DNA-biotin 용액, 그리고 Streptavidin 단백질 복합 용액에 대한 검출기 신호를 분석하였다. 구성된 센서에 각 용액을 1
${\mu}{\ell}$ 씩 반응시켜 분광계로 파장에 따른 광강도를 측정하는 실험을 수행했으며, 450 nm에서 460 nm 범위의 푸른빛의 광원을 사용하였다. 그래핀 필름의 유무에 따라 확연히 구분되는 경향을 보이는 결과를 얻었고 그래핀 필름이 기존 SPR 센서의 금속박막을 대체 할 수 있음을 확인하였다. -
The size distribution of gold nanoparticles (NPs) is an important factor in their application to various fields of nanotechnology such as nanodevice fabrication, nanobio measurements, medical diagnosis, and so on, since the properties of nanoparticles depend on the size. As the pH of the reaction mixture was increased, the size distribution of gold NPs synthesized via sodium citrate reduction method was getting narrower and it finally became quite mono-dispersive when the pH was higher than ca. 7. 0.1M NaOH solution was used in controlling the pH, while the ratio between sodium citrate and HAuCl4 was fixed to 3:1 whose initial pH was about 5. Scanning and tunneling electron microscopy and UV/Vis spectrometry were used to characterize the resulting Au NPs. The change of the size distribution of the NPs was discussed with the change of the reaction rate related to the change of hydroxyl ion concentration.
-
최근 나노에 대한 연구가 활성화되고 나노입자가 가지는 특성이 부각되면서 이를 소자 제조에 응용하고자 하는 연구가 집중적으로 이루어지고 있다. 박막에 포함된 나노입자는 메모리, 고효율 박막형 태양전지 등에 이용될 수 있는 가능성을 보여주었으며, 나노입자를 바탕으로 소자 제조에 관한 연구가 이루어지면서 플라즈마 내 발생하는 나노입자를 이용하여 패터닝 등에 적용하고자 하는 연구가 국내외에서 활발히 이루어지고 있다. 특히 플라즈마에서 발생하는 나노입자는 플라즈마 내 전기적 및 화학적 특징으로 인해 다른 입자 제조 공정과 달리 응집이 없는 균일한 입자를 제조할 수 있다. 이러한 플라즈마 내 발생 입자를 응용하기 위해서는 공정 조건에 따른 입자의 생성 및 성장 분석이 필요하다. 하지만 이러한 입자 발생 특성에 관한 연구는 기존에 밝혀진 반응 메커니즘으로 인해 수치해석적 연구는 체계적으로 진행되었으나 실험적 연구의 경우 적합한 측정 장비의 부재로 인해 제한이 있었다. 따라서 본 연구에서는 저압에서 실시간으로 나노입자 분포를 측정할 수 있는 PBMS (particle beam mass spectrometer)를 이용하여 나노입자 합성 공정 중 발생하는 입자의 존재를 확인하고 특성을 분석하였다. 실리콘 나노 입자의 측정은 PBMS 장비의 전단 부분을 PECVD (plasma enhanced chemical vapor deposition) 장치 내부에 연결하여 진행하였다. PECVD를 이용한 실리콘 나노입자 형성의 주요 변수는 RF pulse, 가스(Ar, SiH4, H2)의 유량, Plasma power, 공정압력 등이 있다. 본 연구에서는 실리콘 나노입자를 만드는데 필요한 여러 변수들을 제어함으로써 이에 따른 입경분포를 측정하였다. 또한 동일한 조건에서 생성 나노입자를 포집하여 TEM과 SEM을 이용하여 분석하여 그 결과를 비교하였다. 추후 지속적 연구에 의해 변수에 따른 나노입자 생성을 데이터베이스화 하여 요구되는 응용분야에 적합한 특성을 가지는 나노입자를 형성하는 조건을 정립 하는데 중요한 역할을 할 것을 기대할 수 있다.
-
Kim, Hyun-Suk;Castro, Edward Joseph D.;Kwak, Seung-Im;Ju, Jin-Young;Hwang, Yong-Gyoo;Lee, Choong-Hun 392
Carbon nanotubes (CNT) emitter has widely become an attractive mechanism that draws growing interests for cold cathode field emission.$^{1,2}$ CNT yarns have demonstrated its potential as excellent field emitters.$^3$ Extensive simulations were carried out in designing a CNT yarn-based cathode assembly. The focal spot size dependence on the anode surface of the geometric parameters such as axial distance of the electrostatic focus lens from the cathode and the applied bias voltages at the cathode, grid mesh and electrostatic focus lens were studied. The detailed computer simulations using Opera 3D electromagnetic software$^4$ had revealed that a remarkable size of focal spot under a focusing lens triode type set-up design was achieved. The result of this optimization simulation would then be applied for the construction of the CNT yarn based micro-focus x-ray tube with its field emission characteristics evaluated. -
We investigated the electronic structures of a poly sodium 4-styrensulfonate intercalated graphite oxide (PSSGO) electrode and a precursor graphite oxide (GO) electrode using X-ray absorption spectroscopy (XAS). Both electrodes were obtained from electrochemical cells. We found that in the C K-edge XAS spectra the
${\pi}^*$ state intensity originating from the sp2 hybridization of graphite decreases predominantly in the graphite oxide and PSSGO electrodes. This indicates that the negatively charged electrolyte ion (BF4-) is absorbed onto the electrodes and is transferred to the${\pi}^*$ state of the both electrodes. The analysis of their F K-edge spectra reveals that more BF4- ions were found in the PSSGO electrode than in the graphite oxide electrode. This indicates that more electrolyte ions are absorbed in the PSSGO than in the graphite oxide electrode. We argue that this is the main reason why PSSGO cells have higher capacitance, higher energy density, and higher power density when compared to the graphite oxide cells. We also found that BF4- is the primary working ion that can be inserted into the interlayers of the PSSGO electrode. -
급속한 산업의 발달은 심각한 환경오염 및 에너지 문제를 가져왔다. 이를 해결하기 위하여 무한한 에너지원인 태양에너지를 원천으로 하는 친환경 정화소재로서의 광촉매(photocatalyst)를 통하여 인류의 에너지를 확보하는 것에 대한 관심이 급격하게 증가하고 있는 추세이다. 현재 광촉매로 가장 많이 사용되는
$TiO_2$ 의 경우 뛰어난 광활성과 저렴한 가격, 광 안정성, 화학적 안정성을 가짐에도 불구하고, 3.2 eV라는 상대적으로 넓은 band gap을 가지기 때문에 약 386 nm보다 짧은 파장을 갖는 자외선만 흡수할 수 있다. 이로 인한 가시광 응답성의 부재를 해결하기 위해 수십년간 많은 연구가 진행되어 왔다. 따라서 본 연구에서는 ICP assisted pulsed DC reactive magnetron sputtering을 이용하여$TiO_2$ 를 기반으로 하면서 가시광영역의 빛을 흡수하여 높은 효율을 얻을 수 있도록 Nitrogen doping, Low band gap semi-conductor sensitization 등의 방법을 사용하여 광촉매를 제작하였다. 시료의 chemical state와 crystallinity를 확인하기 위하여 X-ray photoelectron spectroscopy와 X-ray diffraction method를 이용하여 분석을 수행하였으며, 이러한 공정을 통해 제작된$TiO_2$ 기반 광촉매의 가시광 응답성을 확인하기 위하여 UV/Vis 스펙트럼을 측정하였다. 또한 물 분해 장치(water splitting device)를 제작하여 수소와 산소 생성시 흐르는 전류를 측정하여 광특성을 평가하였다. -
본 논문에서는 30% 내외의 평균반사율을 가지는 다결정 실리콘 태양전지의 입사광 손실을 최소화하여 광전변환효율 극대화를 구현하기 위해서 SF6/O2 혼합가스를 이용한 RIE 표면 texturing 공정을 수행하였다. 현재 다결정 실리콘 태양전지는 다양한 방향의 grain을 가지기 때문에 단결정 실리콘에 적용되는 습식 식각 방식이 다결정 실리콘 표면 texturing에 적절하지 않은 것으로 알려져 있다. 이를 개선하기 위해서 이방성 식각 특성을 가지는 다양한 texturing 방법이 시도되고 있다. 대표적으로 기계적인 방식의 V-grooving, 레이저 grooving, 플라즈마 건식식각을 이용한 texturing 및 산 용액을 이용한 texturing 등의 연구가 보고되고 있다. 그 중에서 플라즈마 건식식각 방식의 하나인 RIE를 이용한 표면 texturing 공정이 간단한 공정과 산업계 응용의 용이성 때문에 활발히 연구되어 왔다. 특히 Sandia group과 일본 Kyocera사의 연구 결과에서는 그 가능성을 입증하고 있다. 본 연구에서는 공정의 단순화와 안전한 공정을 위해서 SF6/O2 혼합 가스를 이용하여 마스크 패턴 공정없이 RIE texturing 공정을 수행하였으며, RIE-textured 다결정 실리콘에 대해서 태양전지를 제작하여 표면 texturing이 광전변환효율에 미치는 영향에 대해서 분석하였다. 그 결과 SF6/O2 혼합 가스를 이용한 RIE texturing은 다결정 실리콘 표면에 주로 needle 구조를 형성하는 것을 확인하였다. 각 texturing 조건별 반사율의 차이는 needle 구조의 조밀도와 관련되는 것을 알 수 있었으며, 동일 공정 parameter 상에서 식각 시간 1, 2, 3, 4, 5분 기준 시간에 따른 표면 구조 분석 결과 seed 가 형성되고 그에 따라서 needle 형태로 식각되는 과정을 관찰하였다. 반사율은 분당 약 4%씩 낮아져 5분 식각 후 14.45% 까지 낮아졌으며, 표면 구조에서 폭은 약 30 nm로 모두 일정하며, 길이가 약 20, 30, 50, 80, 100 nm으로 증가되었다. 이 결과로 보아 seed로부터 needle 구조가 심화되어가는 것을 알 수 있었다. 시간에 따른 RIE texturing 후 제작된 태양전지는 효율이 1분 식각 기준 15.92%에서 약 0.35% 씩 낮아져 5분 식각 후 14.4%로 낮아졌다. Voc 는 texturing 시간에 관계없이 일정하며 Isc가 점점 감소되는 것으로 확인되었다. EQE 결과도 이와 동일하게 RIE texturing 시간이 길어질수록 전체 파장 범위에서 일정하게 낮아지는 것이 관찰되었다. Electroluminescence(EL) 이미지 결과 texturing 시간이 길어진 태양전지일수록 점점 어두운 이미지가 나타나 5분 식각의 경우 가장 어두운 결과를 나타내었다. 이런 결과는 한 가지 이유보다는 복합적인 문제로 예상되는데 궁극적으로는 RIE 공정 후 표면에 쌓인 charged particle들이 trap 준위를 형성하여 효율 및 공정상에 영향을 미친 것으로 보이며, 특히 잔류 O기가 불균일한 산화막을 형성하는 것으로 예상된다. 또한 EL 분석 결과를 볼 때 RIE texturing 공정이 길어질수록 불안정한 pn-junction을 형성하는 것을 확인하였으며, emitter 층 형성 후 PSG (phosphorous silica glass) 공정에서 needle의 상부 구조가 무너지면서 면저항이 증가된 결과로 분석된다. PSG 제거 후 측정된 면저항의 경우 3분 texturing 샘플부터 면저항이 약 4
${\Omega}/sq$ 정도 증가됨을 확인하였다. -
최근 태양전지 연구가 활발히 진행되는 가운데 저가 고효율 태양전지로 제안되는 제3세대 태양전지로 Quantum Dots (QD: 양자점) 태양전지에 대한 연구가 많은 연구자들에 의해 관심이 모아지고 있다. 현재까지 보고된 최고효율은 NSWU의 13%의 효율을 보고하고 있으며, 국내에서도 다양한 분야에서 연구가 진행되고 있다. 본 연구에서는 기존의 PECVD에서 문제시 되고 있는 플라즈마에 의한 박막손상과 고온 증착온도 등의 단점을 보완한 증착 기술로 중성입자빔 (Hyper-thermal neutral beam ; HNB)을 이용한 저온 증착방법에 대한 연구를 진행하였다. 유리기판과 p-type Si 기판 그리고 SiNx 박막 위에 Ar, He, H2, 그리고 SiH4 가스를 소스 가스로 활용하여 ECR-microwave 플라즈마에서 생성된 중서입자빔을 이용한 Si 양자점을 형성하였고, Si 양자점 형성 특성과 크기제어 방법에 대한 연구를 진행하였다. 또한 TEM, FTIR, Raman, Photo Luminescence 등의 분석 방법을 이용하여 결정성 및 성분 등을 분석하여 HNB의 특성 및 효과를 규명하였다.
-
텍스처링은 입사된 태양빛을 산란시켜 보다 많은 포톤이 흡수층에 흡수하도록 하여 태양전지의 효율이 증대되기 때문에 텍스처링과 관련된 다양한 연구가 진행되고 있다. 본 연구에서는 ICP 플라즈마 장치를 이용하여 바이어스 유무에 따른 유리기판에 텍스처링을 진행하였다. 텍스처링 된 유리기판에 Boron이 도핑된 ZnO 박막을 MOCVD로 증착하여 각 투명전극의 광학적 특성을 분석하였다. 또한 투명전극이 증착된 기판에 박막실리콘 태양전지를 제작하여 텍스처링 특성에 따른 태양전지 특성을 분석하였다.
-
We studied the structural and optical characterization of zinc sulfide (ZnS) thin films by RF magnetron sputtering on glass substrates. The substrate temperature was varied in the range of 100
$^{\circ}C$ to 400$^{\circ}C$ . The XRD analyses indicated that ZnS films had cubic structures with (111) preferential orientation and grain size varied from 20 to 60 nm, increasing with substrate temperatures. The optical properties were carried out by UV-visible spectrophotometer. Transmission measurement showed that the films had more than 70% transmittance in the wavelength larger than 400 nm, and the absorption edge shifted to shorter wavelength with the increase of substrate temperatures. -
습식 식각과 RIE (reactive ion etching) 텍스처링 된 다결정 실리콘 태양전지의 라미네이팅 공정 전 후에 양자 효율과 광학적 특성 및 전기적 특성의 변화를 관찰 하였다. 두 식각 방법을 이용해 라미네이팅 공정 전 습식 식각의 표면 텍스처 태양전지에 비해 RIE 표면 텍스처태양전지에서 높은 양자 효율이 관측 되었지만, 라미네이팅 공정 후에 두 셀을 비교해 보면 RIE 텍스처링 된 것의 양자 효율이 더 낮아지는 것을 확인 할 수 있었다. 300~1,100 nm의 파장 범위에서 10 nm의 간격으로 양자효율, 반사율, 투과율, 흡수율 및 변환 효율을 측정하였다. 또한, 공정 전 후의 셀의 dark current를 측정하였다. 위 연구 결과를 통해 라미네이팅 공정에 따른 다결정 실리콘 태양전지의 특성 변화를 분석 하였다.
-
태양전지 제작에 있어서 에미터층의 최적화를 위해 POCl 도핑시 에미터층의 면저항 가변에 중요한 파라미터인 온도와 가스비를 변화하여 실험을 진행하였다. 본 실험에 사용될 최초 기판은 두께가 200
${\pm}$ 5${\mu}m$ , 비저항이 0.5~0.3${\Omega}{\cdot}cm$ 의 P-type(100) 실리콘 기판을 사용하였으며 먼저 POCl3양과 deposition 시간 그리고 산소와 질소의 양을 고정시키고 온도에 따른 에미터 면저항 변화를 알아보았다. 온도는 830, 840, 850, 860, 870, 880$^{\circ}C$ 로 가변시켰으며 공정온도가 높아질수록 면저항 값이 낮아짐을 알 수 있었다. 균일도는 낮은 온도에서는 다소 좋지 않았지만 온도가 높아질수록 점차 좋아졌으며 870$^{\circ}C$ 이상에서는 거의 균일한 값을 얻을 수 있었다. 한편, 이번에는 공정온도를 고정하고 산소와 POCl3 가스량의 변화에 따른 면저항 특성과 균일도를 알아보았다. 가스비와 압력 그리고 위치별 면저항 특성에 대해서 알아보았고 부분압이 증가함으로 반응로 내의 O2의 양이 증가함을 알 수 있었다. 증가한 O2는 도핑과정에서 산화막을 더 두껍게 형성하게 하며 높은 면저항 값을 가져오게 하였다. 즉, 충분한 가스량의 주입으로 도핑시 균일도를 향상시킬 수 있었다. 이와 같이 부분압이 증가함에 따라 면저항의 증가와 균일도의 향상을 가져왔다. -
본 연구에서는 결정질 태양전지 제작에 있어 재료비 절감과 기존의 Screen Printing 공정 기술에서의 단점을 보완하기 위한 방안으로 후면 passivation 구조와 레이저를 이용한 국부적 후면 전극 형성(Laser Fired Contact) 방법에 대한 실험을 진행하였다. 후면 passivation 층으로 SiO2/ SiNx/SiO2 삼중막 구조와 SiNx 단일막 구조를 형성시킨 후 anneal 온도에 따른 소수캐리어의 lifetime 변화를 비교하였다. LFC 형성은 2
${\mu}m$ 두께의 Al이 증착된 기판 후면에 1,064 nm 파장의 레이저를 통해 diameter와 dot pitch 등의 파라미터를 가변 하여 실시하였다. 실험 결과 800$^{\circ}C$ 의 고온 열처리 후 ONO 삼중막에서의 lifetime 향상이 우세하여 SiNx 단일 막 보다 열적 안정성이 우수함을 확인하였다. LFC 결과 diameter가 40, 50, 60${\mu}m$ 로 가변된 조건에서는 40${\mu}m$ 일 경우와 dot pitch가 200, 500, 1,000${\mu}m$ 로 가변된 조건에서는 1,000${\mu}m$ 일 경우 610 mV의 Voc 값을 보였다. 이는 레이저를 통해 국부적으로 Al-Si 간 alloy를 형성시킴으로써 접촉 면적이 최소화됨에 따라 후면에서의 캐리어의 재결합속도를 감소시키고, passivation 효과를 극대화시키기 때문이다. -
결정질 태양전지는 태양전지 시장에 큰 서막을 장식하였다. 현재 여러 종류의 태양전지 기술들이 많이 나오고 있지만 결정질 태양전지는 변환 효율이 좋고 신뢰성이 높아서 높은 시장 점유율을 차지하고 있다. 하지만 응용 분야가 적고 기판 가격이 비싸다는 단점이 있다. 현재에는 응용분야 개선을 위하여 Flexible solar cell에 대한 연구가 활발하다. Flexible solar cell에 상부전극은 결정질 태양전지에서 사용되는 Ag나 Al 전극 대신 TCO 종류의 일종인 ITO를 많이 사용한다. Flexible Solar cell은 Organic Solar cell과 Amorphous Solar Cell 두 가지 범주를 가지고 있다. 본 연구에서는 Amorphous Solar Cell의 전극에 사용되는 ITO의 온도 Stress에 따른 특성을 연구함으로써 Engineer의 근본적인 이슈인 저비용, 고효율에 초점을 맞추어 소자특성을 확인해 보도록 한다. Glass에 E-beam evaporation 장비를 이용하여 ITO를 증착하였고 제작된 소자를 200, 250, 300, 350
$^{\circ}C$ 의 온도변수를 두어 1시간동안 Annealing 하였다. 각 Annealing 온도에 따른 Sheet resistivity,와 visible 영역의 transmittant를 측정하였다. visible영역에서의 transmittant는 Annealing 200$^{\circ}C$ 에서 300$^{\circ}C$ 로 온도가 증가함에 따라 transmittant는 증가하다가 350$^{\circ}C$ 에서 감소하였다. Sheet resistivity의 경우 Annealing 200$^{\circ}C$ 에서 300$^{\circ}C$ 로 온도가 증가함에 따라 ITO의 Sheet resistivity가 줄어들다가 350$^{\circ}C$ 에서 증가하였다. 300$^{\circ}C$ 로 Annealing한 ITO가 가시광선 영역에서 transmittant가 가장 높은 80%로 측정 되었다. Sheet resistivity역시 300$^{\circ}C$ 로 Annealing한 ITO가 8${\Omega}/{\Box}$ 로 가장 낮았다. Annealing 온도가 ITO의 electrical 특성과 optical 특성에 변화를 주었음을 알 수 있었다. Resistivity가 낮은 ITO 전극으로 박막 셀을 제작한다면 좋은 효율을 얻을 수 있을 거라 생각된다. -
앞으로 유연성 태양전지는 빌딩 디자인에 부합하는 태양전지로서 다양하게 활용될 수 있는 매우 유망한 기술 분야이다. 얇은 스테인레스 스틸(SS) 기판은 이러한 유연성 박막태양전지의 기판으로서 중요한 장점을 가지고 있다. 250
$^{\circ}C$ 이상의 고온 박막태양전지 제조 공정에서 안정하고, 부식의 염려가 없으며, 또한 기판을 통해 수분, 산소 등이 침투할 수 없기 때문에 패키징이 수월하다. 그러나 SS 기판은 표면 스크래치 등이 전혀 없도록 제조하기 어렵고, 그 위에 무기 박막을 형성할 때 adhesion 특성이 나쁜 어려움이 있다. 본 연구에서는 SS 기판 표면을 단시간 식각하거나, 졸겔 방법으로 SiO2, Al2O3의 조성을 변화시키면서 막을 형성하여 adhesion특성 변화를 연구하였다. 또한 SS 기판 처리 조건에 따라 박막태양전지 기판으로서 중요한 특성인 표면 거침도에 따른 가시광선 산란 정도를 SS 기판 상에 금속, 투명전극을 형성하여 분석하였다. 기판을 식각해서 표면을 개질하고 그 위에 Al 박막을 증착한 후 반사도를 측정하였을 때, 식각 시간을 30초에서 3분으로 증가시킴에 따라 total reflectance는 75.7%에서 66.5%로 감소한 반면, diffuse reflectance는 1.1%에서 44.8%로 증가하였다. 이러한 diffuse reflectance의 증가는 박막 태양전지의 효율을 향상시킬 것으로 기대된다. -
실리콘 질화막(SiNx : H)는 결정질 실리콘 태양전지 제작 공정에서 ARC (Anti Reflection Coating)과 표면 패시베이션의 역할로써 많이 사용되었지만, layer 자체의 quality가 좋지 않기 때문에 최근에는 SiNx/SiO2 이중 layer로 passivation layer를 형성하고 있다. SiO2 layer는 Si substrate를 소스로 하여 성장시키기 때문에 막의 질이 우수하기는 하지만, 막 성장을 위해서 Furnace를 이용해야 하기 때문에, 공정 시간과 공정 비용을 증가시키는 단점이 있다. 본 연구에서는 SiO2 layer를 Furnace가 아닌, 질산(HNO3)을 이용하여SiNx/Thin SiO2 passivation layer 제작하였다. 실험에서는 SiO2 성장을 위해서 질산 용액에 p-type wafer를 dipping하여 시간대 별, SiO2 막의 두께를 관찰하였고, passivation의 효과를 확인하기 위해 lifetime을 측정하였다. 그 결과 SiNx/SiO2 이중 passivation layer는 SiNx 단일 막으로 passivation을 하였을 때보다, lifetime이 10 us 상승했고, 셀 제작시 효율은 약 1.1%, Fill Factor는 약 4% 정도 증가한 것을 확인할 수 있었다.
-
결정질 태양전지에서 도핑(Doping)은 반도체(Semiconductor)의 PN 접합(Junction)을 형성하는 중요한 역할을 한다. 도핑은 반도체에 불순물(Dopant)을 주입하는 공정으로 고온에서 진행되며 온도는 중요한 변수(Parameter)로 작용한다. 본 연구에서는 여러 가지 에미터(emitter)층 형성방법 중에 가장 저가이면서 공정과정이 간단하며 대면적 도핑이 용의한 Spray 방법을 통해 효과적인 에미터 층 형성의 최적화를 위해 DI water에 각각 1%, 3%, 5% 7%로 희석된 H3PO4용액 으로 850
$^{\circ}C$ 에서 열처리 시간을 가변해 가며 최적화된 면저항과 표면농도 특성을 분석하였다. 도핑소스가 웨이퍼(wafer) 각각의 표면에 흡착시킨 후 오븐에 넣어 150$^{\circ}C$ 에서 5분간 건조시킨 후 퍼니스(furance)에 넣어 시간을 가변해 가며 도핑시켰다. Spray 방식은 기존의 방식보다 저렴하고 In-line 공정에 적합하며 대용량으로 전환이 쉽다는 많은 장점을 가지고 있다. 도핑시 먼저 spray를 이용하여 웨이퍼 표면에 균일하게 용액을 흡착시킨 후 오븐에서 150$^{\circ}C$ 에서 5분간 건조 후 furnace에 넣어 850$^{\circ}C$ 에서 시간을 가변 해가며 실험하였다. H3PO4용액의 비율이 1%일 때는 2분 이상 열처리를 하였을 때 60${\Omega}/{\Box}$ 이하로 내려가지 않았다. 이는 최초 표면농도가 낮아 더 이상 확산되지 않음을 의미한다. 또한 H3PO4의 비율이 3% 이상일 때는 열처리 시간이 1분 이하일 때 면저항의 변화가 거의 없었으나 2분 이상일 때는 시간에 따라서 점차 낮아졌으며 균일도 역시 좋아졌다. 이는 H3PO4의 비율이 3% 이상일 때는 표면농도가 높아서 1분 이하의 열처리 시간에서는 확산해 들어가는 양이 거의 같음을 알 수 있었다. -
태양전지에서 Fill Factor를 저하시키는 직렬저항의 성분들은 베이스저항, 에미터 저항, contact 저항, finger 저항, busbar 저항 등이 있다. 각각의 저항 성분은 전극의 width및 height, 그리고 전극과 전극 사이의 spacing을 가변함에 따라 각기 다른 값을 나타내는데, 낮은 직렬저항 값을 달성하기 위해 전극의 면적을 크게 하는 것이 바람직하지만, 이는 cell의 shading loss를 증가시켜 cell의 JSC를 저하시킨다. 그러므로 cell의 면적과 전면 에미터의 면저항을 고려하여 shading loss와 직렬저항을 최소화 하는 최적의 전면 전극의 설계가 중요하다. 본 논문에서는 시뮬레이션을 통해 전면 전극의 height, spacing 및 width를 가변하여 1 by 1, 2 by 2, 3 by 3의 cell 면적에서의 전면 전극의 설계를 최적화 하였다. 시뮬레이션 결과 각각의 cell면적에서 단위면적당 저항 값이 500
$m{\Omega}$ 이하, shading loss가 4% 미만인 전극을 설계하였다. -
태양광 발전은 발전 셀의 특성상 태양광의 일사량과 태양과 셀 단면이 이루는 각도에 의하여 발전량에 차이를 가져온다. 태양전지의 표면의 입사각은 고정된 위치에서 태양전지 장치의 각도를 가변함으로서 변경 할 수 있다. 이 입사되는 빛의 각도를 변경하는 것은 효율개선의 한 측정의 방법으로써 사용된다. 본 연구에서는 입사각에 따른 a-Si/
${\mu}$ -Si 적층 태양전지의 양자 효율의 특성에 대해 분석하였다. 태양전지의 측정을 위하여 스테이지는 제작되었으며, 이 연구에서 양자효율 측정에 대한 유용성을 실험을 통해 입증하였다.