• 제목/요약/키워드: shift register chain

검색결과 7건 처리시간 0.186초

Staggered Voting for TMR Shift Register Chains in Poly-Si TFT-LCDs

  • Lee, Seung-Min;Lee, In-Hwan
    • Journal of Information Display
    • /
    • 제2권2호
    • /
    • pp.22-26
    • /
    • 2001
  • This paper presents the idea of staggered voting for the efficient TMR implementation of shift register chains for improving the yield of Poly-Si TFT-LCD driving circuits. The paper discusses the characteristic features of staggered voting and performs a quantitative evaluation of its effectiveness. Staggered voting allows us to improve the reliability of a single-voter TMR chain significantly when the probability of a voter failure is not negligible.

  • PDF

IEEE 1149.1의 실시간 신호 시험 구조 설계 (Design of Run-time signal test architecture in IEEE 1149.1)

  • 김정홍;장영식;김재수
    • 한국컴퓨터정보학회논문지
    • /
    • 제15권1호
    • /
    • pp.13-21
    • /
    • 2010
  • 보드에 장착된 소자들을 테스트하기위해 제안된 IEEE 1149.1 시험 구조는 입력으로 TDI 핀을 사용하고 출력으로 TDO 핀을 사용하는 커다란 직렬 쉬프트 레지스터이다. IEEE 1149.1은 보드 수준에서의 테스트는 완벽하게 수행하지만 보드가 시스템에 장착되고 난 후의 수행 중인 시스템 수준에서의 실시간 동작클럭 속도로의 테스트에는 문제가 있다. 즉시험대상 핀의 실시간 동작신호를 시험하기 위하여 직렬 시프트 레지스트 체인들의 출력속도를 동작 클럭의 쉬프트레지스터 배수 이상의 속도로 작동 하여야 한다. 본 논문에서는 시스템 클럭과 동일한 속도로 실시간 신호를 캡쳐하기 위한 실시간 신호 시험 구조를 설계하고 시험 절차를 제안하였다. 제안한 실시간 신호 시험 구조를 Altera의 Max+Plus 10.0을 사용하여 제안한 시험 절차에 따라 시뮬레이션을 수행하였으며, 이를 통해 제안한 시험구조가 정확히 동작함을 확인하였다.

페이지 쉬프터 기반의 의사 난수 패턴 생성기 (Pseudo Random Pattern Generator based on phase shifters)

  • 조성진;최언숙;황윤희
    • 한국정보통신학회논문지
    • /
    • 제14권3호
    • /
    • pp.707-714
    • /
    • 2010
  • 패턴생성기로 LFSR(linear feedback shift register)은 기계 자체에 고유의 선형의존성이 있어 패턴을 생성함에 있어 비트의 위치를 이동시켜 수열을 생성하기 때문에 생성되는 패턴들의 상관관계가 높고 따라서 오류 검출률이 낮아지게 된다. 이런 문제점을 해소하기 위하여 scan chain 사이에 XOR 게이트의 조합으로 구성된 페이지 쉬프터를 장착하여 출력 테스트 패턴의 난수성을 높임으로써 LFSR 고유의 선형의존성을 줄이고 오류 검출률을 높이는 연구가 활발히 진행되어 왔다. 본 논문에서는 PRPG(pseudo random pattern generator)로서 특성 다항식을 원시다항식으로 갖는 LFSR을 사용하여 어떤 임의의 두 채널에서 출력되는 이진 수열의 선형 의존성을 줄이기 위한 방법으로 적절한 탭 수를 유지하며 최소의 위상이동차를 보장하는 페이지 쉬프터를 갖는 LFSR 기반의 PRPG를 구성하는 방법을 제안한다. 제안된 알고리즘에 따라 페이지 쉬프터를 구성하면 기존의 방법보다 페이지 쉬프터를 훨씬 빨리 구성 할 수 있는 장점이 있다.

Embedded System One-Hot 시그널의 위치 추적 알고리즘 (Tracking Algorithm about Location of One-Hot Signal in Embedded System)

  • 전유성;김인수;민형복
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2008년도 제39회 하계학술대회
    • /
    • pp.1957-1958
    • /
    • 2008
  • The Logic Built In Self Test (LBIST) technique is substantially applied in chip design in most many semiconductor company in despite of unavoidable overhead like an increase in dimension and time delay occurred as it used. Currently common LBIST software uses the MISR (Multiple Input Shift Register) However, it has many considerations like defining the X-value (Unknown Value), length and number of Scan Chain, Scan Chain and so on for analysis of result occurred in the process. So, to solve these problems, common LBIST software provides the solution method automated. Nevertheless, these problems haven't been solved automatically by Tri-state Bus in logic circuit yet. This paper studies the simulator and algorithm that judges whether Tri-state Bus lines is the circuit which have X-value or One-hot Value after presuming the control signal of the lines which output X-value in the logic circuit to solve the most serious problems.

  • PDF

Logic Built In Self Test 구조의 내부 특성 패턴 매칭 알고리즘 (Internal Pattern Matching Algorithm of Logic Built In Self Test Structure)

  • 전유성;김인수;민형복
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2008년도 제39회 하계학술대회
    • /
    • pp.1959-1960
    • /
    • 2008
  • The Logic Built In Self Test (LBIST) technique is substantially applied in chip design in most many semiconductor company in despite of unavoidable overhead like an increase in dimension and time delay occurred as it used. Currently common LBIST software uses the MISR (Multiple Input Shift Register) However, it has many considerations like defining the X-value (Unknown Value), length and number of Scan Chain, Scan Chain and so on for analysis of result occurred in the process. So, to solve these problems, common LBIST software provides the solution method automated. Nevertheless, these problems haven't been solved automatically by Tri-state Bus in logic circuit yet. This paper studies the algorithm that it also suggest algorithm that reduce additional circuits and time delay as matching of pattern about 2-type circuits which are CUT(circuit Under Test) and additional circuits so that the designer can detect the wrong location in CUT: Circuit Under Test.

  • PDF

천이 감시 윈도우를 이용한 새로운 저전력 LFSR 구조 (A New Low Power LFSR Architecture using a Transition Monitoring Window)

  • 김유빈;양명훈;이용;;강성호
    • 대한전자공학회논문지SD
    • /
    • 제42권8호
    • /
    • pp.7-14
    • /
    • 2005
  • 본 논문은 새로운 저전력 BIST 패턴 생성기에 대해 제안하고 있다. 이는 천이 감시 윈도우 블록과 MUX로 구성된 천이 감시 윈도우를 사용하는데, LFSR(linear feedback shift register)에서 생성되는 무작위 테스트 패턴의 패턴 천이 수 분포가 유사 무작위 가우시안(pseudo-random gaussian) 분포를 보이는 성질을 이용한다. 제안된 방식에서 천이 감시 윈도우는 스캔 체인에서 높은 전력 소모의 원인이 되는 초과 천이를 감지하고, k-value라는 억제 천이 수를 통해 초과 천이를 억제하는 역할을 한다 ISCAS'89 벤치마크 회로 중 많은 수의 스캔 입력을 갖는 회로를 사용하여 실험한 결과, 성능 손실 없이 약 $60\%$정도의 스캔 천이 수 감소를 나타내었다.

효율적인 LFSR 리시딩 기반의 테스트 압축 기법 (An Efficient Test Compression Scheme based on LFSR Reseeding)

  • 김홍식;김현진;안진호;강성호
    • 대한전자공학회논문지SD
    • /
    • 제46권3호
    • /
    • pp.26-31
    • /
    • 2009
  • 선형 피드백 쉬프트 레지스터(linear feedback shift register:LFSR) 기반의 효율적인 테스트 압축기법을 제안하였다. 일반적으로 기존의 LFSR 리시딩 기반의 테스트 압축 기법의 성능은 주어진 테스트 큐브 집합내의 최대 할당 비트 수, $S_{max}$에 따라서 변하는 특성을 가지고 있다. 따라서 본 논문에서는 LFSR과 스캔 체인사이에 서로 다른 클럭 주파수를 사용하여 적절하게 스캔 셀을 그룹화 함으로써 $S_{max}$를 가상적으로 감소시킬 수 있었다. 만약 스캔 체인을 위한 클락 주파수보다 n배 느린 클락을 LFSR을 위하여 사용한다면, 스캔 체인내의 연속적인 n 개의 스캔셀들은 항상 동일한 테스트 입력값을 갖게 된다. 따라서 이와 같은 연속적인 셀들에 무상관 비트(don't care bit)를 적절하게 배치하게 되면 압축해야 하는 할당 비트의 수를 줄일 수 있게 된다. 제안하는 방법론의 선능은 스캔셀의 그룹화 알고리듬에 의존적이기 때문에, 그래프 기반의 새로운 스캔 셀 그룹화 알고리듬을 제안하였다. ISCAS 89 벤치마크 회로에 대한 실험을 통하여 제안하는 기법은 기존의 테스트 압축 기법들에 비해서 적은 메모리 용량 및 매우 작은 면적 오버 헤드를 보장할 수 있음을 증명하였다.