• 제목/요약/키워드: dry-etching

검색결과 407건 처리시간 0.031초

P-형 실리콘에서 마이크로 와이어 형성에 미치는 마스크 패턴의 영향 (The Effect of Mask Patterns on Microwire Formation in p-type Silicon)

  • 김재현;김강필;류홍근;우성호;서홍석;이정호
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 추계학술대회 논문집 Vol.21
    • /
    • pp.418-418
    • /
    • 2008
  • The electrochemical etching of silicon in HF-based solutions is known to form various types of porous structures. Porous structures are generally classified into three categories according to pore sizes: micropore (below 2 nm in size), mesopore (2 ~ 50 nm), and macropore (above 50 nm). Recently, the formation of macropores has attracted increasing interest because of their promising characteristics for an wide scope of applications such as microelectromechanical systems (MEMS), chemical sensors, biotechnology, photonic crystals, and photovoltaic application. One of the promising applications of macropores is in the field of MEMS. Anisotropic etching is essential step for fabrication of MEMS. Conventional wet etching has advantages such as low processing cost and high throughput, but it is unsuitable to fabricate high-aspect-ratio structures with vertical sidewalls due to its inherent etching characteristics along certain crystal orientations. Reactive ion dry etching is another technique of anisotropic etching. This has excellent ability to fabricate high-aspect-ratio structures with vertical sidewalls and high accuracy. However, its high processing cost is one of the bottlenecks for widely successful commercialization of MEMS. In contrast, by using electrochemical etching method together with pre-patterning by lithographic step, regular macropore arrays with very high-aspect-ratio up to 250 can be obtained. The formed macropores have very smooth surface and side, unlike deep reactive ion etching where surfaces are damaged and wavy. Especially, to make vertical microwire or nanowire arrays (aspect ratio = over 1:100) on silicon wafer with top-down photolithography, it is very difficult to fabricate them with conventional dry etching. The electrochemical etching is the most proper candidate to do it. The pillar structures are demonstrated for n-type silicon and the formation mechanism is well explained, while such a experimental results are few for p-type silicon. In this report, In order to understand the roles played by the kinds of etching solution and mask patterns in the formation of microwire arrays, we have undertaken a systematic study of the solvent effects in mixtures of HF, dimethyl sulfoxide (DMSO), iso-propanol, and mixtures of HF with water on the structure formation on monocrystalline p-type silicon with a resistivity with 10 ~ 20 $\Omega{\cdot}cm$. The different morphological results are presented according to mask patterns and etching solutions.

  • PDF

Selective etching of SiO2 using embedded RF pulsing in a dual-frequency capacitively coupled plasma system

  • 염원균;전민환;김경남;염근영
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2015년도 제49회 하계 정기학술대회 초록집
    • /
    • pp.136.2-136.2
    • /
    • 2015
  • 반도체 제조는 chip의 성능 향상 및 단가 하락을 위해 지속적으로 pattern size가 nano size로 감소해 왔고, capacitor 용량은 증가해 왔다. 이러한 현상은 contact hole의 aspect ratio를 지속적으로 증가시킨바, 그에 따라 최적의 HARC (high aspect ratio contact)을 확보하는 적합한 dry etch process가 필수적이다. 그러나 HARC dry etch process는 많은 critical plasma properties 에 의존하는 매우 복잡한 공정이다. 따라서, critical plasma properties를 적절히 조절하여 higher aspect ratio, higher etch selectivity, tighter critical dimension control, lower P2ID과 같은 plasma characteristics을 확보하는 것이 요구된다. 현재 critical plasma properties를 제어하기 위해 다양한 plasma etching 방법이 연구 되어왔다. 이 중 plasma를 낮은 kHz의 frequency에서 on/off 하는 pulsed plasma etching technique은 nanoscale semiconductor material의 etch 특성을 효과적으로 향상 시킬 수 있다. 따라서 본 실험에서는 dual-frequency capacitive coupled plasma (DF-CCP)을 사용하여 plasma operation 동안 duty ratio와 pulse frequency와 같은 pulse parameters를 적용하여 plasma의 특성을 각각 제어함으로써 etch selectivity와 uniformity를 향상 시키고자 하였다. Selective SiO2 contact etching을 위해 top electrode에는 60 MHz pulsed RF source power를, bottom electrode에는 2MHz pulse plasma를 인가하여 synchronously pulsed dual-frequency capacitive coupled plasma (DF-CCP)에서의 plasma 특성과 dual pulsed plasma의 sync. pulsing duty ratio의 영향에 따른 etching 특성 등을 연구 진행하였다. 또한 emissive probe를 통해 전자온도, OES를 통한 radical 분석으로 critical Plasma properties를 분석하였고 SEM을 통한 etch 특성분석과 XPS를 통한 표면분석도 함께 진행하였다. 그 결과 60%의 source duty percentage와 50%의 bias duty percentage에서 가장 향상된 etch 특성을 얻을 수 있었다.

  • PDF

Microfabrication of Submicron-size Hole on the Silicon Substrate using ICP etching

  • Lee, J.W.;Kim, J.W.;Jung, M.Y.;Kim, D.W.;Park, S.S.
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 1999년도 제17회 학술발표회 논문개요집
    • /
    • pp.79-79
    • /
    • 1999
  • The varous techniques for fabrication of si or metal tip as a field emission electron source have been reported due to great potential capabilities of flat panel display application. In this report, 240nm thermal oxide was initially grown at the p-type (100) (5-25 ohm-cm) 4 inch Si wafer and 310nm Si3N4 thin layer was deposited using low pressure chemical vapor deposition technique(LPCVD). The 2 micron size dot array was photolithographically patterned. The KOH anisotropic etching of the silicon substrate was utilized to provide V-groove formation. After formation of the V-groove shape, dry oxidation at 100$0^{\circ}C$ for 600 minutes was followed. In this procedure, the orientation dependent oxide growth was performed to have a etch-mask for dry etching. The thicknesses of the grown oxides on the (111) surface and on the (100) etch stop surface were found to be ~330nm and ~90nm, respectively. The reactive ion etching by 100 watt, 9 mtorr, 40 sccm Cl2 feed gas using inductively coupled plasma (ICP) system was performed in order to etch ~90nm SiO layer on the bottom of the etch stop and to etch the Si layer on the bottom. The 300 watt RF power was connected to the substrate in order to supply ~(-500)eV. The negative ion energy would enhance the directional anisotropic etching of the Cl2 RIE. After etching, remaining thickness of the oxide on the (111) was measured to be ~130nm by scanning electron microscopy.

  • PDF

$BCl_3$/Ar 플라즈마에서 $Cl_2$ 첨가에 따른 TiN 박막의 식각 특성 (Etch characteristics of TiN thin film adding $Cl_2$ in $BCl_3$/Ar Plasma)

  • 엄두승;강찬민;양설;김동표;김창일
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 하계학술대회 논문집 Vol.9
    • /
    • pp.168-168
    • /
    • 2008
  • Dimension of a transistor has rapidly shrunk to increase the speed of device and to reduce the power consumption. However, it is accompanied with several problems like direct tunneling through the gate dioxide layer and low conductivity characteristic of poly-Si gate in nano-region. To cover these faults, study of new materials is urgently needed. Recently, high dielectric materials like $Al_2O_3$, $ZrO_2$, and $HfO_2$ are being studied for equivalent oxide thickness (EOT). However, poly-Si gate is not compatible with high-k materials for gate-insulator. Poly Si gate with high-k material has some problems such as gate depletion and dopant penetration problems. Therefore, new gate structure or materials that are compatible with high-k materials are also needed. TiN for metal/high-k gate stack is conductive enough to allow a good electrical connection and compatible with high-k materials. According to this trend, the study on dry etching of TiN for metal/high-k gate stack is needed. In this study, the investigations of the TiN etching characteristics were carried out using the inductively coupled $BCl_3$-based plasma system and adding $Cl_2$ gas. Dry etching of the TiN was studied by varying the etching parameters including $BCl_3$/Ar gas mixing ratio, RF power, DC-bias voltage to substrate, and $Cl_2$ gas addition. The plasmas were characterized by optical emission spectroscopy analysis. Scanning electron microscopy was used to investigate the etching profile.

  • PDF

식각 용기 가열에 의한 라디칼 손실 제어가 고선택비 산화막 식각에 미치는 영향 (Effect of the Radical Loss Control by the Chamber Wall Heating on the Highly Selective $SiO_2$ etching)

  • 김정훈;이호준;주정훈;황기웅
    • 한국진공학회지
    • /
    • 제5권2호
    • /
    • pp.169-174
    • /
    • 1996
  • The applications of the high density plasma sources to the etching in semiconductor fabrication process are actively studied because of the more strict requirement from the dry etching process due to shrinking down of the critical dimension. But in the oxide etching with the high density plasma sources, abundant fluorine atoms released from the flurocarbon feed gas make it difficult to get the highly selective $SiO_2/Si$ etching. In this study, to improve the $SiO_2/Si$ etch selectivity through the control of the radical loss channels, we propose the wall heating , one of methods of controlling loss mechanisms. With appearance mass spectroscopy(AMS) and actinometric optical emission spectroscopy(OES), the increase of both radicals impinging on the substrate and existing in bulk plasma, and the decrease of the fluorine atom with wall temperature are observed. As a result, a 40% improvement of the selectivity was achieved for the carbon rich feed gas.

  • PDF

Run-to-Run Control of Inductively Coupled C2F6 Plasmas Etching of SiO2;Construction of a Process Simulator with a CFD code

  • Seo, Seung-T.;Lee, Yong-H.;Lee, Kwang-S.;Yang, Dae-R.;Choi, Bum-Kyoo
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 제어로봇시스템학회 2005년도 ICCAS
    • /
    • pp.519-524
    • /
    • 2005
  • A numerical process to simulate SiO2 dry etching with inductively coupled C2F6 plasmas has been constructed using a commercial CFD code as a first step to design a run-to-run control system. The simulator was tuned to reasonably predict the reactive ion etching behavior and used to investigate the effects of plasma operating variables on the etch rate and uniformity. The relationship between the operating variables and the etching characteristics was mathematically modeled through linear regression for future run-to-run control system design.

  • PDF

Etching of an Al Solid by SiCl$_4$ Molecules at 600 eV

  • Seung Chul Park;Chul Hee Cho;Chang Hwan Rhee
    • Bulletin of the Korean Chemical Society
    • /
    • 제11권1호
    • /
    • pp.1-7
    • /
    • 1990
  • We present a theoretical investigation on the etching of an Al solid by $SiCl_4$ molecules at a collision energy of 600 eV. The classical trajectory method is employed to calculate Al etching yields, degree of anisotropy, kinetic energy distribution and angular distribution. The calculated results are compared with the reaction of a Cu solid by $SiCl_4$. The major products of the reaction are aluminum monomers and dimers together with considerable quantities of multimers. The Al solid shows better etching yield and better anisotropy than the Cu solid. This is consistent with the problem in the CMOS micro-fabrication of the CuAl and CuAlSi alloys. The relevance of these calculations for the dry etching of CuAl alloy is discussed.

MAC Etch를 이용한 Si 나노 구조 제조 (Silicon Nanostructures Fabricated by Metal-Assisted Chemical Etching of Silicon)

  • 오일환
    • 전기화학회지
    • /
    • 제16권1호
    • /
    • pp.1-8
    • /
    • 2013
  • 본 총설에서는 Si 비등방성 식각(anisotropic etching) 공정인 metal-assisted chemical etching(MAC etch 혹은 MACE) 분야 기본 원리, 중요 변수, 그리고 최근 연구 성과들을 정리하였다. 1990년에 최초로 Si 표면에 금속 촉매를 증착한 후 $H_2O_2$/HF 기반 식각을 진행하면 용액 중에서도 비등방성 식각을 통해 다양한 고종횡비(high aspect ratio) 나노구조를 형성할 수 있다는 것이 밝혀 졌다. 고가의 진공기반 장비가 필요한 건식 식각에 비해, 습식 식각을 통해서도 상대적으로 간편하고 경제적으로 종횡비가 큰 Si 마이크로/나노 구조를 만들 수 있게 되었다. 초기 연구들을 통해 MAC etch중 산화제가 촉매에 의해 환원되고, 촉매/Si 계면 근처의 Si 원자들이 선택적으로 식각/용해되어 수직 방향으로 촉매가 Si 기판을 파고 들어가며 비등방성 식각이 발생함이 밝혀졌다. MAC etch에 영향을 미치는 중요 변수로는 금속 촉매의 종류 및 모양, 식각액의 조성, Si기판의 도핑 농도이다. 또한 본 총설은 MAC etch에 의해 형성된 Si 나노 구조를 이용한 태양전지, 수소 연료, 리튬 이온 전지 등의 응용 분야를 다루었다.

Pseudo MOSFET을 이용한 Nano SOI 웨이퍼의 전기적 특성분석 (Electrical Characterization of Nano SOI Wafer by Pseudo MOSFET)

  • 배영호;김병길;권경욱
    • 한국전기전자재료학회논문지
    • /
    • 제18권12호
    • /
    • pp.1075-1079
    • /
    • 2005
  • The Pseudo MOSFET measurements technique has been used for the electrical characterization of the nano SOI wafer. Silicon islands for the Pseudo MOSFET measurements were fabricated by selective etching of surface silicon film with dry or wet etching to examine the effects of the etching process on the device properties. The characteristics of the Pseudo MOSFET were not changed greatly in the case of thick SOI film which was 205 nm. However the characteristics of the device were dependent on etching process in the case of less than 100 nm thick SOI film. The sub 100 nm SOI was obtained by thinning the silicon film of standard thick SOI wafer. The thickness of SOI film was varied from 88 nm to 44 nm by chemical etching. The etching process effects on the properties of pseudo MOSFET characteristics, such as mobility, turn-on voltage, and drain current transient. The etching Process dependency is greater in the thinner SOI wafer.