• Title/Summary/Keyword: chemical mechanical planarization (CMP)

Search Result 218, Processing Time 0.024 seconds

Characteristic of Oxide CMP with the Various Temperatures of Silica Slurry (실리카 슬러리의 온도 변화에 따른 산화막의 CMP 특성)

  • Ko, Pil-Ju;Park, Sung-Woo;Kim, Nam-Hoon;Seo, Yong-Jin;Chang, Eui-Goo;Lee, Woo-Sun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.11a
    • /
    • pp.707-710
    • /
    • 2004
  • Chemical mechanical polishing (CMP) process has been widely used to planarize dielectric layers, which can be applied to the integrated circuits for sub-micron technology. Despite the increased use of CMP process, it is difficult to accomplish the global planarization of in the defect-free inter-level dielectrics (ILD). In this paper, we have investigated slurry properties and CMP performance of silicon dioxide (oxide) as a function of different temperature of slurry. Thermal effects on the silica slurry properties such as pH, particle size, conductivity and zeta potential were studied. Moreover, the relationship between the removal rate (RR) with WIWNU and slurry properties caused by changes of temperature were investigated. Therefore, the understanding of these temperature effects provides a foundation to optimize an oxide CMP Process for ULSI multi-level interconnection technology.

  • PDF

Aging effect of annealed oxide CMP slurry (열처리된 산화막 CMP 슬러리의 노화 현상)

  • Lee, Woo-Sun;Shin, Jae-Wook;Choi, Kwon-Woo;Ko, Pil-Ju;Seo, Yong-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.07a
    • /
    • pp.335-338
    • /
    • 2003
  • Chemical mechanical polishing (CMP) process has been widely used to planarize dielectric layers, which can be applied to the integrated circuits for sub-micron technology. Despite the increased use of CMP process, it is difficult to accomplish the global planarization of in the defect-free inter-layer dielectrics (ILD). Especially, defects such as micro-scratch lead to severe circuit failure which affect yield. CMP slurries can contain particles exceeding $1\;{\mu}m$ in size, which could cause micro-scratch on the wafer surface. In this paper, we have studied aging effect the of CMP sin as a function of particle size. We prepared and compared the self-developed silica slurry by adding of abrasives before and after annealing. As our preliminary experiment results, we could be obtained the relatively stable slurry characteristics comparable to original silica slurry in the slurry aging effect.

  • PDF

Effects of Consumable on STI-CMP Process (STI-CMP 공정에서 Consumable의 영향)

  • 김상용;박성우;정소영;이우선;김창일;장의구;서용진
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.11a
    • /
    • pp.185-188
    • /
    • 2001
  • Chemical mechanical polishing(CMP) process is widely used for global planarization of inter-metal dielectric (IMD) layer and inter-layer dielectric (ILD) for deep sub-micron technology. However, as the IMD and ILD layer gets thinner, defects such as micro-scratch lead to severe circuit failure, which affect yield. In this paper, for the improvement of CMP Process, deionized water (DIW) pressure, purified $N_2$ (P$N_2$) gas, slurry filter and high spray bar were installed. Our experimental results show that DIW pressure and P$N_2$ gas factors were not related with removal rate, but edge hot-spot of patterned wafer had a serious relation. Also, the filter installation in CMP polisher could reduce defects after CMP process, it is shown that slurry filter plays an important role in determining consumable pad lifetime. The filter lifetime is dominated by the defects. However, the slurry filter is impossible to prevent defect-causing particles perfectly. Thus, we suggest that it is necessary to install the high spray bar of de-ionized water (DIW) with high pressure, to overcome the weak-point of slurry filter. Finally, we could expect the improvements of throughput, yield and stability in the ULSI fabrication process.

  • PDF

Analysis of Cu CMP according to Corrosion Inhibitor Concentration (Cu CMP에서 Corrosion Inhibitor에 의한 연마 특성 분석)

  • Joo, Suk-Bae;Lee, Hyun-Seop;Kim, Young-Min;Cho, Han-Chul;Jeong, Hae-Do
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.113-113
    • /
    • 2008
  • Cu CMP (Chemical Mechanical Planarization) has been used to remove copper film and obtain a planar surface which is essential for the semiconductor devices. Generally, it is known that chemical reaction is a dominant factor in Cu CMP comparing to Silicon dioxide CMP. Therefore, Cu CMP slurry has been regarded as an important factor in the entire process. This investigation focused on understanding the effect of corrosion inhibitor on copper surface and CMP results. Benzotriazole (BTA) was used as a corrosion inhibitor in this experiment. For the surface analysis, electrochemical characteristics of Cu was measured by a potentiostat and surface modification was investigated by X-ray photoelectron spectroscopy (XPS). As a result, corrosion potential (Ecorr) increased and nitrogen concentration ratio on the copper surface also increased with BTA concentration. These results indicate that BTA prevents Cu surface from corrosion and forms Cu-BTA layer on Cu surface. CMP results are also well matched with these results. Material removal rate (MRR) decreased with BTA concentration and static etch rate also showed same trend. Consequently, adjustment of BTA concentration can give us control of step height variation and furthermore, this can be applicable for Cu pattern CMP.

  • PDF

Numerical Analysis of a Slurry Flow on a Rotating CMP Pad Using a Two-phase Flow Model

  • Nagayama, Katsuya;Sakai, Tommi;Kimura, Keiichi;Tanaka, Kazuhiro
    • International Journal of Precision Engineering and Manufacturing
    • /
    • v.9 no.2
    • /
    • pp.8-10
    • /
    • 2008
  • Chemical mechanical polishing (CMP) is a very precise planarization technique where a wafer is polished by a slurry-coated pad. A slurry is dropped on the rotating pad surface and is supplied between the wafer and the pad. This research aims at reducing the slurry consumption and removing waste particles quickly from the wafer. To study the roles of grooves, slurry flows were simulated using the volume of fluid method (two-phase model for air and slurry) for pads with no grooves, and for pads with circular grooves.

Effect of chemical in post Ru CMP Cleaning solutions on abrasive particle adhesion and removal (Post Ru CMP Cleaning에서 연마입자의 흡착과 제거에 대한 chemical의 첨가제에 따른 영향)

  • Kim, In-Kwon;Kim, Tae-Gon;Cho, Byung-Gwun;Son, Il-Ryong;Park, Jin-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.11a
    • /
    • pp.529-529
    • /
    • 2007
  • Ruthenium (Ru) is a white metal and belongs to platinum group which is very stable chemically and has a high work function. It has been widely studied to apply Ru as an electrode material in memory devices and a Cu diffusion barrier metal for Cu interconnection due to good electrical conductivity and adhesion property to Cu layer. To planarize deposited Ru layer, chemical mechanical planarization(CMP) was suggested. However, abrasive particle can induce particle contamination on the Ru layer surface during CMP process. In this study, zeta potentials of Ru and interaction force of alumina particles with Ru substrate were measured as a function of pH. The etch rate and oxidation behavior were measured as a function of chemical concentration of several organic acids and other acidic and alkaline chemicals. PRE (particle removal efficiency) was also evaluated in cleaning chemical.

  • PDF

Dielectric Layer Planarization Process for Silicon Trench Structure (실리콘 트랜치 구조 형성용 유전체 평탄화 공정)

  • Cho, Il Hwan;Seo, Dongsun
    • Journal of IKEEE
    • /
    • v.19 no.1
    • /
    • pp.41-44
    • /
    • 2015
  • Silicon trench process for bulk fin field effect transistor (finFET) is suggested without using chemical mechanical polishing (CMP) that cause contamination problems with chemical stuff. This process uses thickness difference of photo resistor spin coating and silicon nitride sacrificial layer. Planarization of silicon oxide and silicon trench formation can be performed with etching processes. In this work 50 nm silicon trench is fabricated with AZ 1512 photo resistor and process results are introduced.

Oxide CMP Removal Rate and Non-uniformity as a function of Slurry Composition (슬러리의 조성에 따른 산화막 CMP 연마율과 균일도 특성)

  • Ko, Pi-Ju;Lee, Woo-Sun;Choi, Kwon-Woo;Shin, Jae-Wook;Seo, Yong-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.05c
    • /
    • pp.41-44
    • /
    • 2003
  • As the device feature size is reduced to the deep sub-micron regime, the chemical mechanical polishing (CMP) technology is widely recognized as the most promising method to achieve the global planarization of the multilevel interconnection for ULSI applications. However, cost of ownership (COO) and cost of consumables (COC) were relatively increased because of expensive slurry. In this paper, the effects of different slurry composition on the oxide CMP characteristics were investigated to obtain the higher removal rate and lower non-uniformity. We prepared the various kinds of slurry. In order to save the costs of slurry, the original slurry was diluted by de-ionized water (DIW). And then, alunima abrasives were added in the diluted slurry in order to promote the mechanical force of diluted slurry.

  • PDF

A Study on Characterization and Modeling of Shallow Trench Isolation in Oxide Chemical Mechanical Polishing

  • Kim, Sang-Yong;Chung, Hun-Sang
    • Transactions on Electrical and Electronic Materials
    • /
    • v.2 no.3
    • /
    • pp.24-27
    • /
    • 2001
  • The end point of oxide chemical mechanical polishing (CMP) have determined by polishing time calculated from removal rate and target thickness of oxide. This study is about control of oxide removal amounts on the shallow trench isolation (STI) patterned wafers using removal rate and thickness of blanket (non-patterned) wafers. At first, it was investigated the removal properties of PETEOS blanket wafers, and then it was compared with the removal properties and the planarization (step height) as a function of polishing time of the specific STI patterned wafers. We found that there is a relationship between the oxide removal amounts of blanket and patterned wafers. We analyzed this relationship, and the post CMP thickness of patterned wafers could be controlled by removal rate and removal target thickness of blanket wafers. As the result of correlation analysis, we confirmed that there was the strong correlation between patterned and blanket wafer (correlation factor: 0.7109). So, we could confirm the repeatability as applying for STI CMP process from the obtained linear formula. As the result of repeatability test, the differences of calculated polishing time and actual polishing time was about 3.48 seconds. If this time is converted into the thickness, then it is from 104 $\AA$ to 167 $\AA$. It is possible to be ignored because process margin is about 1800 $\AA$.

  • PDF

Design of Pad Groove in CMP using CFD (CFD를 이용한 CMP의 Pad Groove 형상 설계 연구)

  • Choi, Chi-Woong;Lee, Do-hyung
    • The KSFM Journal of Fluid Machinery
    • /
    • v.6 no.4 s.21
    • /
    • pp.21-28
    • /
    • 2003
  • CMP (Chemical Mechanical Polishing) is to achieve adequate local and global planarization for future sub-micrometer VLSI requirements. In designing CMP, numerical computation is quite helpful in terms of reducing the amount of experimental works. Stresses on pad, concentration of particles and particle tracking are studied for design. In this research, the optimization of grooved pad shape of CMP is performed through numerical investigation of slurry flow in CMP process. The result indicates that the combination of sinusoidal groove and skewed pad is the most optimal shape among the twenty candidates. Useful information can be obtained in velocity, pressure, stress, concentration of particles and particles trajectories, etc.