• Title/Summary/Keyword: Reactive Ion Etch

Search Result 127, Processing Time 0.029 seconds

Selective etch of silicon nitride, and silicon dioxide upon $O_2$ dilution of $CF_4$ plasmas ($CF_4$$O_2$혼합가스를 이용한 산화막과 질화막의 선택적 식각에 관한 연구)

  • 김주민;원태영
    • Electrical & Electronic Materials
    • /
    • v.8 no.1
    • /
    • pp.90-94
    • /
    • 1995
  • Reactive Ion Etching(RIE) of Si$_{3}$N$_{4}$ in a CF$_{4}$/O$_{2}$ gas plasma exhibits such good anisotropic etching properties that it is widely employed in current VLSI technology. However, the RIE process can cause serious damage to the silicon surface under the Si$_{3}$N$_{4}$ layer. When an atmospheric pressure chemical vapor deposited(APCVD) SiO$_{2}$ layer is used as a etch-stop material for Si$_{3}$N$_{4}$, it seems inevitable to get a good etch selectivity of Si$_{3}$N$_{4}$ with respect to SiO$_{2}$. Therefore, we have undertaken thorough study of the dependence of the etch rate of Si$_{3}$N$_{4}$ plasmas on $O_{2}$ dilution, RF power, and chamber pressure. The etch selectivity of Si$_{3}$N$_{4}$ with respect to SiO$_{2}$ has been obtained its value of 2.13 at the RF power of 150 W and the pressure of 110 mTorr in CF$_{4}$ gas plasma diluted with 25% $O_{2}$ by flow rate.

  • PDF

Reactive Ion Etching of InP, InGaAs and InAIAs by SiCl$_4$ and Cl$_2$ Gases: Effects of Gas Flow Rate, rf Power, Process Pressure and Ar Addition (SiCl$_4$와 Cl$_2$가스에 의한 InP, InGaAs 및 InAIAs의 반응성 이온 식각: 가스유량, rf 전력, 공정압력, Ar 첨가의 영향)

  • 유재수;송진동;배성주;정지훈;이용탁
    • Proceedings of the IEEK Conference
    • /
    • 2001.06b
    • /
    • pp.25-28
    • /
    • 2001
  • In this paper, we have investigated the effects of gas flow rate, rf power, process pressure and Ar addition on reactive ion etching of InP, InGaAs and InAlAs using Sic14 and Cl$_2$ gases. The etch rates were measured by using a surface profiler. The etched profiles, sidewall roughness, and surface morphology were observed by scanning electron microscopy and by atomic force microscopy. The selective etching of InGaAs to InP and InAlAs was studied by varying the etching parameters. It was found that Cl$_2$ gas is more efficient for the selective etching of InGaAs to InAlAs than SiCl$_4$ gas. The etch selectivity of InGaAs to InAlAs is strongly dependent on the rf power and the process pressure.

  • PDF

Reactive ion etching of InP using $Cl_2/CH_4/H_2$ discharges ($Cl_2/CH_4/H_2$ 혼합기체를 이용한 InP 소재의 반응성 이온 에칭에 관한 연구)

  • 최익수;이병택;김동근;박종삼
    • Journal of the Korean Vacuum Society
    • /
    • v.6 no.3
    • /
    • pp.282-286
    • /
    • 1997
  • Reactive ion etching (RIE) characteristics of InP in the $Cl_2$/ CH_4/H_2$ discharges was investigated, as a function of the rf power, substrate temperature and gas composition. It was observed that the etch rate increased as the rf power, sample temperature and/or $Cl_2$ gas concentration increased. Etch rate of about 0.9$\mu\textrm{m}$/min was obtained at the optimum condition of 150W rf power, $180^{\circ}C$ substrate temperature and $10Cl_2$ /$5CH_4/85H_2$ gas ratio. Polymer formation was completely suppressed by adding $Cl_2$ to the $CH_4$ /$H_2$ discharges.

  • PDF

Microfabrication of submicron-size hole for potential held emission and near field optical sensor applications (전계방출 및 근접 광센서 응용을 위한 서브 마이크론 aperture의 제작)

  • Lee, J.W.;Park, S.S.;Kim, J.W.;M.Y. Jung;Kim, D.W.
    • Journal of the Korean Vacuum Society
    • /
    • v.9 no.2
    • /
    • pp.99-101
    • /
    • 2000
  • The fabrication of the submicron size hole has been interesting due to the potential application of the near field optical sensor or liquid metal ion source. The 2 micron size dot array was photolithographically patterned. After formation of the V-groove shape by anisotropic KOH etching, dry oxidation at $1000^{\circ}C$ for 600 minutes was followed. In this procedure, the orientation dependent oxide growth was performed to have an etch-mask for dry etching. The reactive ion etching by the inductively coupled plasma (ICP) system was performed in order to etch ~90 nm $SiO_2$ layer at the bottom of the V-groove and to etch the Si at the bottom. The negative ion energy would enhance the anisotropic etching by the $Cl_2$ gas. After etching, the remaining thickness of the oxide on the Si(111) surface was measured to be ~130 nm by scanning electron microscopy. The etched Si aperture can be used for NSOM sensor.

  • PDF

Fabrication of 3-Dimensional Microstructures for Bulk Micromachining by SDB and Electrochemical Etch-Stop (SDB와 전기화학적 식각정지에 의한 벌크 마이크로머신용 3차원 미세구조물 제작)

  • 정귀상;김재민;윤석진
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.15 no.11
    • /
    • pp.958-962
    • /
    • 2002
  • This paper reports on the fabrication of free-standing microstructures by DRIE (deep reactive ion etching). SOI (Si-on-insulator) structures with buried cavities are fabricated by SDB (Si-wafer direct bonding) technology and electrochemical etch-stop. The cavity was formed the upper handling wafer by Si anisotropic etch technique. SDB process was performed to seal the formed cavity under vacuum condition at -760 mmHg. In the SDB process, captured air and moisture inside of the cavities were removed by making channels towards outside. After annealing (100$0^{\circ}C$, 60 min.), the SDB SOI structure with a accurate thickness and a good roughness was thinned by electrochemical etch-stop in TMAH solution. Finally, it was fabricated free-standing microstructures by DRIE. This result indicates that the fabrication technology of free-standing microstructures by combination SDB, electrochemical etch-stop and DRIE provides a powerful and versatile alternative process for high-performance bulk micromachining in MEMS fields.

Patterning of Pt thin films using SiO$_2$mask in a high density plasma (고밀도 플라즈마에서 규소산화막을 마스크로 이용한 백금박막의 페터닝)

  • 이희섭;이종근;박세근;정양희
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.34D no.3
    • /
    • pp.87-92
    • /
    • 1997
  • Inductively coupled Cl$_{2}$ plasma has been studied to etch Pt thin films, which hardly form volatile compound with any reactive gas at normal process temperature. Low etch rate and residue problems are frequently observed. For higher etch rate, high density plasma and higher process temperature is adopted observed. For higher etch rate, high density plasma and higher process temperature is adopted and thus SiO$_{2}$ is used as for patterning mask instead of photoresist. The effect of O$_{2}$ or Ar addition to Cl$_{2}$ was investigated, and the chamber pressure, gas flow rate, surce RF power and bias RF power are also varied to check their effects on etch rate and selectivity. The major etching mechanism is the physical sputtering, but the ion assisted chemical raction is also found to be a big factor. The proposs can be optimized to obtain the etch rate of Pt up to 200nm/min and selectivity to SiO$_{2}$ at 2.0 or more. Patterning of submicron Pt lines are successfully demonstrated.

  • PDF

A Reproducible High Etch Rate ICP Process for Etching of Via-Hole Grounds in 200μm Thick GaAs MMICs

  • Rawal, D.S.;Agarwal, Vanita R.;Sharma, H.S.;Sehgal, B.K.;Muralidharan, R.
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.8 no.3
    • /
    • pp.244-250
    • /
    • 2008
  • An inductively coupled plasma etching process to replace an existing slower rate reactive ion etching process for $60{\mu}m$ diameter via-holes using Cl2/BCl3 gases has been investigated. Process pressure and platen power were varied at a constant ICP coil power to reproduce the RIE etched $200{\mu}m$ deep via profile, at high etch rate. Desired etch profile was obtained at 40 m Torr pressure, 950 W coil power, 90W platen power with an etch rate ${\sim}4{\mu}m$/min and via etch yield >90% over a 3-inch wafer, using $24{\mu}m$ thick photoresist mask. The etch uniformity and reproducibility obtained for the process were better than 4%. The metallized via-hole dc resistance measured was ${\sim}0.5{\Omega}$ and via inductance value measured was $\sim$83 pH.

High density plasma etching of CoFeB and IrMn magnetic films with Ti hard mask

  • Xiao, Y.B.;Kim, E.H.;Kong, S.M.;Chung, C.W.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.233-233
    • /
    • 2010
  • Magnetic random access memory (MRAM), based on magnetic tunnel junction (MTJ) and CMOS, is a prominent candidate among prospective semiconductor memories because it can provide nonvolatility, fast access time, unlimited read/write endurance, low operating voltage and high storage density. The etching of MTJ stack with good properties is one of a key process for the realization of high density MRAM. In order to achieve high quality MTJ stack, the use of CoFeB and IrMn magnetic films as free layers was proposed. In this study, inductively coupled plasma reactive ion etching of CoFeB and IrMn thin films masked with Ti hard mask was investigated in a $Cl_2$/Ar gas mix. The etch rate of CoFeB and IrMn films were examined on varying $Cl_2$ gas concentration. As the $Cl_2$ gas increased, the etch rate monotonously decreased. The effective of etch parameters including coil rf power, dc-bais voltage, and gas pressure on the etch profile of CoFeB and IrMn thin film was explored, At high coil rf power, high dc-bais voltage, low gas pressure, the etching of CoFeB and IrMn displayed better etch profiles. Finally, the clean and vertical etch sidewall of CoFeB and IrMn free layers can be achieved by means of thin Ti hard mask in a $Cl_2$/Ar plasma at the optimized condition.

  • PDF

A Study on Etching of Si3N4 Thin Film and the Exhausted Gas Using C3F6 Gas for LCD Process (LCD 공정용 C3F6 가스를 이용한 Si3N4 박막 식각공정 및 배출가스에 관한 연구)

  • Jeon, S.C.;Kong, D.Y.;Pyo, D.S.;Choi, H.Y.;Cho, C.S.;Kim, B.H.;Lee, J.H.
    • Journal of the Korean Vacuum Society
    • /
    • v.21 no.4
    • /
    • pp.199-204
    • /
    • 2012
  • $SF_6$ gas is widely used for dry etching process of semiconductor and display fabrication process. But $SF_6$ gas is considered for typical greenhouse gas for global warming. So it is necessary to research relating to $SF_6$ alternatives reducing greenhouse effect in semiconductor and display. $C_3F_6$ gas is one of the promising candidates for it. We studied about etch characteristics by performing Reactive Ion Etching process of dry etching and reduced gas element exhausted on etching process using absorbent Zeolite 5A. $Si_3N_4$ thin film was deposited to 500 nm with Plasma Enhanced Chemical Vapor Deposition and we performed Reactive Ion Etching process after patterning through photolithography process. It was observed that the etch rate and the etched surface of $Si_3N_4$ thin film with Scanning Electron Microscope pictures. And we measured and compared the exhausted gas before and after the absorbent using Gas Chromatograph-Mass Spectrophotometry.

A Study of the Etched ZnO Thin Films Surface by Reactive Ion in the Cl2/BCl3/Ar Plasma (Cl2/BCl3/Ar 플라즈마에서 반응성 이온들에 의해 식각된 ZnO 박막 표면 연구)

  • Woo, Jong-Chang;Kim, Chang-Il
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.23 no.10
    • /
    • pp.747-751
    • /
    • 2010
  • In the study, the characteristics of the etched Zinc oxide (ZnO) thin films surface, the etch rate of ZnO thin film in $Cl_2/BCl_3/Ar$ plasma was investigated. The maximum ZnO etch rate of 53 nm/min was obtained for $Cl_2/BCl_3/Ar$=3:16:4 sccm gas mixture. According to the x-ray diffraction (XRD) and atomic force microscopy (AFM), the etched ZnO thin film was investigated to the chemical reaction of the ZnO surface in $Cl_2/BCl_3/Ar$ plasma. The field emission auger electron spectroscopy (FE-AES) analysis showed an elemental analysis from the etched surfaces. According to the etching time, the ZnO thin film of etched was obtained to The AES depth-profile analysis. We used to atomic force microscopy to determine the roughness of the surface. So, the root mean square of ZnO thin film was 17.02 in $Cl_2/BCl_3/Ar$ plasma. Based on these data, the ion-assisted chemical reaction was proposed as the main etch mechanism for the plasmas.