• 제목/요약/키워드: Poly-Si thin-film transistor

검색결과 122건 처리시간 0.035초

Mo기판 위에 sputtering 법으로 성장된 Si 박막의 결정화 연구 (The study of crystallization to Si films deposited using a sputtering method on a Mo substrate)

  • 김도영;고재경;박중현;이준신
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2002년도 하계학술대회 논문집
    • /
    • pp.36-39
    • /
    • 2002
  • Polycrystalline silicon (poly-Si) thin film transistor (TFT) technology is emerging as a key technology for active matrix liquid crystal displays (AMLCD), allowing the integration of both active matrix and driving circuit on the same substrate (normally glass). As high temperature process is not used for glass substrate because of the low softening points below 450$^{\circ}C$. However, high temperature process is required for getting high crystallization volume fraction (i.e. crystallinity). A poly-Si thin film transistor has been fabricated to investigate the effect of high temperature process on the molybdenum (Mo) substrate. Improve of the crystallinity over 75% has been noticed. The properties of structural and electrical at high temperature poly-Si thin film transistor on Mo substrate have been also analyzed using a sputtering method

  • PDF

Channel Orientation Dependent Electrical Characteristics of Low Temperature Poly-Si Thin-film Transistor Using Sequential Lateral Solidification Laser Crystallization

  • Lai, Benjamin Chih-ming;Yeh, Yung-Hui;Liu, Bo-Lin
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 한국정보디스플레이학회 2007년도 7th International Meeting on Information Display 제7권2호
    • /
    • pp.1263-1265
    • /
    • 2007
  • The electrical characteristics of low temperature poly-Si (LTPS) thin-film transistors (TFT) with channel parallel and perpendicular to the direction of lateral growth were studied. The poly-Si film was crystallized using sequential lateral solidification (SLS) laser crystallization technique. The channel orientation dependent turn-on characteristics were investigated by using gated-diodes and capacitance-voltage measurements

  • PDF

Mobility Enhancement in Polycrystalline Silicon Thin Film Transistors due to the Dehydrogenation Mechanism

  • Lee, Seok Ryoul;Sung, Sang-Yun;Lee, Kyong Taik;Cho, Seong Gook;Lee, Ho Seong
    • Journal of the Korean Physical Society
    • /
    • 제73권9호
    • /
    • pp.1329-1333
    • /
    • 2018
  • We investigated the mechanism of mobility enhancement after the dehydrogenation process in polycrystalline silicon (poly-Si) thin films. The dehydrogenation process was performed by using an in-situ CVD chamber in a $N_2$ ambient or an ex-situ furnace in air ambient. We observed that the dehydrogenated poly-Si in a $N_2$ ambient had a lower oxygen concentration than the dehydrogenated poly-Si annealed in an air ambient. The in-situ dehydrogenation increased the (111) preferred orientation of poly-Si and reduced the oxygen concentration in poly-Si thin films, leading to a reduction of the trap density near the valence band. This phenomenon gave rise to an increase of the field-effect mobility of the poly-Si thin film transistor.

An Offset-Compensated LVDS Receiver with Low-Temperature Poly-Si Thin Film Transistor

  • Min, Kyung-Youl;Yoo, Chang-Sik
    • ETRI Journal
    • /
    • 제29권1호
    • /
    • pp.45-49
    • /
    • 2007
  • The poly-Si thin film transistor (TFT) shows large variations in its characteristics due to the grain boundary of poly-crystalline silicon. This results in unacceptably large input offset of low-voltage differential signaling (LVDS) receivers. To cancel the large input offset of poly-Si TFT LVDS receivers, a full-digital offset compensation scheme has been developed and verified to be able to keep the input offset under 15 mV which is sufficiently small for LVDS signal receiving.

  • PDF

금속 유도 일측면 선결정화에 의해 제작된 다채널 다결정 실리콘 박막 트랜지스터 소자 및 회로의 전기적 특성 평가 (Dynamic Characteristics of Multi-Channel Metal-Induced Unilaterally Precrystallized Polycrystalline Silicon Thin-Film Transistor Devices and Circuits)

  • 황욱중;강일석;임성규;김병일;양준모;안치원;홍순구
    • 한국재료학회지
    • /
    • 제18권9호
    • /
    • pp.507-510
    • /
    • 2008
  • Electrical properties of multi-channel metal-induced unilaterally precrystallized polycrystalline silicon thin-film transistor (MIUP poly-Si TFT) devices and circuits were investigated. Although their structure was integrated into small area, reducing annealing process time for fuller crystallization than that of conventional crystal filtered MIUP poly-Si TFTs, the multi-channel MIUP poly-Si TFTs showed the effect of crystal filtering. The multi-channel MIUP poly-Si TFTs showed a higher carrier mobility of more than 1.5 times that of the conventional MIUP poly-Si TFTs. Moreover, PMOS inverters consisting of the multi-channel MIUP poly-Si TFTs showed high dynamic performance compared with inverters consisting of the conventional MIUP poly-Si TFTs.

대화면/고화질 TFT-LCD 개발을 위하여 ELA 및 SMC로 제작된 다결정 실리콘 박막 트랜지스터의 화소 특성 비교 (Comparative Pixel Characteristics of ELA and SMC poly-Si TETs for the Development of Wide-Area/High-Quality TFT-LCD)

    • 한국진공학회지
    • /
    • 제10권1호
    • /
    • pp.72-80
    • /
    • 2001
  • 본 논문에서는 ELA(excimer laser annealing) 및 SMC(silicide mediated crystallization) 공정으로 제작된 다결정 실리콘 TFT-LCD(Thin Film Transistor-Liquid Crystal Display) 화소의 전기적 특성을 Spice회로 시뮬레이션을 통해 비교 분석하였다. 복잡한 TFT-LCD 어레이 (array) 회로의 전기적 특성 분석을 위하여 GUI(Graphic User Interface) 방식으로 손쉽게 복잡한 회로를 구성할 수 있는 PSpice에 AIM-Spice의 다결정 실리콘 박막 트랜지스터 소자 모델을 이식하고, AIM-Spice의 변수 추출법을 개선 체계화하였으며 ELA 및 SMC공정으로 각기 제작된 다결정 실리콘 박막트랜지스터에 적용하여 단위 화소 및 라인 RC 지연을 고려한 화소 특성을 비교 분석하였다. 비교 결과 ELA 다결정 실리콘 박막 트랜지스터 소자가 SMC에 비해 TFT-LCD의 화소 충전 시간 및 킥백(kickback) 전압 특성이 모두 우수하게 나타남을 확인하였다.

  • PDF

Excimer Laser Annealing 결정화 방법 및 고유전 게이트 절연막을 사용한 poly-Si TFT의 특성 (Characteristics of poly-Si TFTs using Excimer Laser Annealing Crystallization and high-k Gate Dielectrics)

  • 이우현;조원주
    • 한국전기전자재료학회논문지
    • /
    • 제21권1호
    • /
    • pp.1-4
    • /
    • 2008
  • The electrical characteristics of polycrystalline silicon (poly-Si) thin film transistor (TFT) crystallized by excimer laser annealing (ELA) method were evaluated, The polycrystalline silicon thin-film transistor (poly-Si TFT) has higher electric field-effect-mobility and larger drivability than the amorphous silicon TFT. However, to poly-Si TFT's using conventional processes, the temperature must be very high. For this reason, an amorphous silicon film on a buried oxide was crystallized by annealing with a KrF excimer laser (248 nm)to fabricate a poly-Si film at low temperature. Then, High permittivity $HfO_2$ of 20 nm as the gate-insulator was deposited by atomic layer deposition (ALD) to low temperature process. In addition, the solid phase crystallization (SPC) was compared to the ELA method as a crystallization technique of amorphous-silicon film. As a result, the crystallinity and surface roughness of poly-Si crystallized by ELA method was superior to the SPC method. Also, we obtained excellent device characteristics from the Poly-Si TFT fabricated by the ELA crystallization method.

SOI 웨이퍼를 이용한 Top emission 방식 AMOLEDs의 스위칭 소자용 단결정 실리콘 트랜지스터 (Single Crystal Silicon Thin Film Transistor using 501 Wafer for the Switching Device of Top Emission Type AMOLEDs)

  • 장재원;김훈;신경식;김재경;주병권
    • 한국전기전자재료학회논문지
    • /
    • 제16권4호
    • /
    • pp.292-297
    • /
    • 2003
  • We fabricated a single crystal silicon thin film transistor for active matrix organic light emitting displays(AMOLEDs) using silicon on insulator wafer (SOI wafer). Poly crystal silicon thin film transistor(poly-Si TFT) Is actively researched and developed nowsdays for a pixel switching devices of AMOLEDs. However, poly-Si TFT has some disadvantages such as high off-state leakage currents and low field-effect mobility due to a trap of grain boundary in active channel. While single crystal silicon TFT has many advantages such as high field effect mobility, low off-state leakage currents, low power consumption because of the low threshold voltage and simultaneous integration of driving ICs on a substrate. In our experiment, we compared the property of poly-Si TFT with that of SOI TFT. Poly-Si TFT exhibited a field effect mobility of 34 $\textrm{cm}^2$/Vs, an off-state leakage current of about l${\times}$10$\^$-9/ A at the gate voltage of 10 V, a subthreshold slope of 0.5 V/dec and on/off ratio of 10$\^$-4/, a threshold voltage of 7.8 V. Otherwise, single crystal silicon TFT on SOI wafer exhibited a field effect mobility of 750 $\textrm{cm}^2$/Vs, an off-state leakage current of about 1${\times}$10$\^$-10/ A at the gate voltage of 10 V, a subthreshold slope of 0.59 V/dec and on/off ratio of 10$\^$7/, a threshold voltage of 6.75 V. So, we observed that the properties of single crystal silicon TFT using SOI wafer are better than those of Poly Si TFT. For the pixel driver in AMOLEDs, the best suitable pixel driver is single crystal silicon TFT using SOI wafer.

어븀-실리사이드를 이용한 쇼트키 장벽 다결정 실리콘 박막 트랜지스터 (Schottky barrier poly-Si thin film transistor by using erbium-silicided source and drain)

  • 신진욱;구현모;정명호;최철종;정원진;조원주
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2007년도 추계학술대회 논문집
    • /
    • pp.75-76
    • /
    • 2007
  • Poly-Si Schottky barrier Thin Film Transistor (SB-TFT) is manufactured with erbium silicided source/drain. High quality poly-Si film was obtained by crystallizing the amorphous Si film with Excimer laser annealing (ELA) method. The fabricated poly-Si SB-TFT devices showed low leakage current and large on/off current ratio. Moreover, the electrical characteristics were considerably improved by 3% $H_2/N_2$ gas annealing, which is attributed to the reduction of trap states at the grain boundaries and interface trap states at gate oxide/poly-si channel.

  • PDF

Anneal Temperature Effects on Hydrogenated Thin Film Silicon for TFT Applications

  • Ahn, Byeong-Jae;Kim, Do-Young;Yoo, Jin-Su;Junsin Yi
    • Transactions on Electrical and Electronic Materials
    • /
    • 제1권2호
    • /
    • pp.7-11
    • /
    • 2000
  • a-Si:H and poly-Si TFT(thin film transistor) characteristics were investigated using an inverted staggered type TFT. The TFT an as-grown a-Si:H exhibited a low field effect mobility, transconductance, and high gate threshold voltage. The poly-Si films were achieved by using an isothermal and RTA treatment for glow discharge deposited a-Si:H films. The a-Si:H films were cystallized at the various temperature from 600$^{\circ}C$ to 1000$^{\circ}C$. As anneal temperature was elevated, the TFT exhibited increased g$\sub$m/ and reduced V$\sub$ds/. V$\sub$T/. The poly-Si grain boundary passivation with grain boundary trap types and activation energies as a function of anneal temperature. The poly-si TFT showed an improved I$\sub$nm//I$\sub$off/ ratio of 10$\^$6/, reduced gate threshold voltage, and increased field effect mobility by three orders.

  • PDF