• 제목/요약/키워드: Damascene process

검색결과 45건 처리시간 0.023초

화학적기계적연마 공정으로 제조한 PZT 캐패시터의 공정 조건에 따른 강유전 특성 연구 (Ferroelectric characteristics of PZT capacitors fabricated by using chemical mechanical polishing process with change of process parameters)

  • 전영길;정판검;고필주;김남훈;이우선
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2007년도 추계학술대회 논문집
    • /
    • pp.66-66
    • /
    • 2007
  • Lead zirconate titanate (PZT) is one of the most attractive perovskite-type materials for ferroelectric random access memory (FRAM) due to its higher remanant polarization and the ability to withstand higher coercive fields. We first applied the damascene process using chemical mechanical polishing (CMP) to fabricate the PZT thin film capacitor to solve the problems of plasma etching including low etching profile and ion charging. The $0.8{\times}0.8\;{\mu}m$ square patterns of silicon dioxide on Pt/Ti/$SiO_2$/Si substrate were coated by sol-gel method with the precursor solution of PZT. Damascene process by CMP was performed to pattern the PZT thin film with the vertical sidewall and no plasma damage. The polarization-voltage (P-V) characteristics of PZT capacitors and the current-voltage characteristics (I-V) were examined by change of process parameters. To examine the CMP induced damage to PZT capacitor, the domain structure of the polished PZT thin film was also investigated by piezoresponse force microscopy (PFM).

  • PDF

고집적 메모리 커패시터의 Vertical Sidewall Patterning을 위한 BTO 박막의 CMP 특성 (Chemical Mechanical Polishing Characteristics of BTO Thin Film for Vertical Sidewall Patterning of High-Density Memory Capacitor)

  • 고필주;박성우;이강연;이우선;서용진
    • 대한전기학회논문지:전기물성ㆍ응용부문C
    • /
    • 제55권3호
    • /
    • pp.116-121
    • /
    • 2006
  • Most high-k materials are well known not to be etched easily, Some problems such as low etch rate poor sidewall angle, plasma damage, and process complexity were emerged from the high-density DRAM fabrication. Chemical mechanical polishing (CMP) by a damascene process was proposed to pattern this high-k material was polished with some commercial silica slurry as a function of pH variation. Sufficient removal rate with adequate selectivity to realize the pattern mask of tera-ethyl ortho-silicate (TEOS) film for the vertical sidewall angle were obtained. The changes of X-ray diffraction pattern and dielectric constant by CMP process were negligible. The planarization was also achieved for the subsequent multi-level processes. Our new CMP approach will provide a guideline for effective patterning of high-k material by CMP technique.

전해 도금을 이용한 기가급 소자용 구리배선 공정 (Cu Metallization for Giga Level Devices Using Electrodeposition)

  • 김수길;강민철;구효철;조성기;김재정;여종기
    • 전기화학회지
    • /
    • 제10권2호
    • /
    • pp.94-103
    • /
    • 2007
  • 반도체 소자의 고속화, 고집적화, 고신뢰성화에 대한 요구는 알루미늄 합금으로부터 구리로의 배선 물질의 변화를 유도하였다. 낮은 비저항과 높은 내열화성을 특징으로 하는 구리는 그 전기적, 재료적 특성이 알루미늄과 상이하여 배선 형성에 있어 새로운 주변 재료와 공법을 필요로 한다. 본 총설에서는 상감공정(damascene process)을 사용하는 다층 구리 배선 공정에 있어 핵심이 되는 구리 전해 도금(electrodeposition) 공정을 중심으로 확산 방지막(diffusion barrier) 및 도전층(seed layer), 바닥 차오름(bottom-up filling)을 위한 전해/무전해 도금용 유기 첨가제, 화학적 기계적 평탄화(chemical mechanical polishing) 및 표면 보호막(capping layer) 기술 등의 금속화 공정에 대한 개요와 개발 이슈를 소개하고 최근의 연구 결과를 통해 구리 배선 공정의 최신 연구 동향을 소개하였다.

Cu/Ag 복합판재의 전기/기계적 성질 및 프레스 성형성에 관한 연구 (A study on electrical and mechanical properties and press formability of a Cu/Ag composite sheet)

  • 신제식
    • Design & Manufacturing
    • /
    • 제6권1호
    • /
    • pp.95-100
    • /
    • 2012
  • In this study, a novel Cu composite sheet with embedded high electric conduction path was developed as another alternative for the interconnect materials possessing high electrical conductivity as well as high strength. The Cu composite sheet was fabricated by forming Ag conduction paths not within the interior but on the surface of a high strength Cu substrate by damascene electroplating process. As a result, the electrical conductivity increased by 40% thanks to mesh type Ag conduction paths, while the ultimate tensile strength decreased by 20%. The interfacial fracture resistance of Cu composite sheet prepared by damascene electroplating increased by above 50 times compared to Cu composite sheet by conventional electroplating. For feasibility test for practical application, a leadframe for LED module was manufactured by a progressive blanking and piercing processes, and the blanked surface profile was evaluated as a function of the volume fraction of Ag conduction paths. As Ag conduction path became finer, pressing formability improved.

  • PDF

A Study on the Optimized Copper Electrochemical Plating in Dual Damascene Process

  • Yoo, Hae-Young;Chang, Eui-Goo;Kim, Nam-Hoon
    • Transactions on Electrical and Electronic Materials
    • /
    • 제6권5호
    • /
    • pp.225-228
    • /
    • 2005
  • In this work, we studied the optimized copper thickness in Cu ECP (Electrochemical Plating). In order to select an optimized Cu ECP thickness, we examined Cu ECP bulge (bump, hump or over-plating amount), Cu CMP dishing and electrical properties of via hole and line trench over dual damascene patterned wafers split into different ECP Cu thickness. In the aspect of bump and dishing, the bulge increased according as target plating thickness decreased. Dishing of edge was larger than center of wafer. Also in case of electrical property, metal line resistance distribution became broad gradually according as Cu ECP thickness decreased. In conclusion, at least $20\%$ reduced Cu ECP thickness from current baseline; $0.8\;{\mu}m$ and $1.0\;{\mu}m$ are suitable to be adopted as newly optimized Cu ECP thickness for local and intermediate layer.

중성 영역 구리 화학적 기계적 평탄화 공정에서의 작용기에 따른 부식방지제의 영향성 연구 (Study on the Effects of Corrosion Inhibitor According to the Functional Groups for Cu Chemical Mechanical Polishing in Neutral Environment)

  • 이상원;김재정
    • Korean Chemical Engineering Research
    • /
    • 제53권4호
    • /
    • pp.517-523
    • /
    • 2015
  • 금속 배선형성 재료가 구리로 대체됨에 따라 다마신(damascene) 공정이 도입되었고, 과증착된 구리를 화학적 기계적 평탄화(Chemical Mechanical Polishing, CMP) 방식을 통해 제거하는 구리 화학적 기계적 평탄화 공정이 필요하게 되었다. 본 연구에서는 중성영역 구리 화학적 기계적 평탄화 공정용 슬러리의 구성 요소 중 하나인 부식 방지제에 아미노기($-NH_2$)와 카르복실기(-COOH)를 부착시켜 그에 따른 영향성을 확인하고자 하였다. 1H-1,2,4-트리아졸(1H-1,2,4-triazole)을 기준 부식방지제로 선정하여 식각속도, 제거속도 및 화학적 식각력을 측정한 결과 아미노기는 높은 구리 식각 능력을 보여주는 반면, 카르복실기는 부식방지제 효과가 증대되어 기본 부식방지제보다 낮은 식각 능력을 보여주었다. 이는 높은 제거속도가 필요한 1차 구리 화학적 기계적 평탄화 공정에는 아미노기가, 높은 구리 제거속도/식각속도 비를 필요로 하는 2차 구리 화학적 기계적 평탄화 공정에는 카르복실기가 적합하다는 결론을 보여준다.

Low Voltage Program/Erase Characteristics of Si Nanocrystal Memory with Damascene Gate FinFET on Bulk Si Wafer

  • Choe, Jeong-Dong;Yeo, Kyoung-Hwan;Ahn, Young-Joon;Lee, Jong-Jin;Lee, Se-Hoon;Choi, Byung-Yong;Sung, Suk-Kang;Cho, Eun-Suk;Lee, Choong-Ho;Kim, Dong-Won;Chung, Il-Sub;Park, Dong-Gun;Ryu, Byung-Il
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제6권2호
    • /
    • pp.68-73
    • /
    • 2006
  • We propose a damascene gate FinFET with Si nanocrystals implemented on bulk silicon wafer for low voltage flash memory device. The use of optimized SRON (Silicon-Rich Oxynitride) process allows a high degree of control of the Si excess in the oxide. The FinFET with Si nanocrystals shows high program/erase (P/E) speed, large $V_{TH}$ shifts over 2.5V at 12V/$10{\mu}s$ for program and -12V/1ms for erase, good retention time, and acceptable endurance characteristics. Si nanocrystal memory with damascene gate FinFET is a solution of gate stack and voltage scaling for future generations of flash memory device. Index Terms-FinFET, Si-nanocrystal, SRON(Si-Rich Oxynitride), flash memory device.

반도체 소자용 구리 배선 형성을 위한 전해 도금 (Electrodeposition for the Fabrication of Copper Interconnection in Semiconductor Devices)

  • 김명준;김재정
    • Korean Chemical Engineering Research
    • /
    • 제52권1호
    • /
    • pp.26-39
    • /
    • 2014
  • 전자 소자의 구리 금속 배선은 전해 도금을 포함한 다마신 공정을 통해 형성한다. 본 총설에서는 배선 형성을 위한 구리 전해 도금 및 수퍼필링 메카니즘에 대해 다루고자 한다. 수퍼필링 기술은 전해 도금의 전해질에 포함된 유기 첨가제의 영향에 의한 결과이며, 이는 유기 첨가제의 표면 덮임율을 조절하여 웨이퍼 위에 형성된 패턴의 바닥 면에서의 전해 도금 속도를 선택적으로 높임으로써 가능하다. 소자의 집적도를 높이기 위해 금속 배선의 크기는 계속적으로 감소하여 현재 그 폭이 수십 nm 수준으로 줄어들었다. 이러한 배선 폭의 감소는 구리 배선의 전기적 특성 감소, 신뢰성의 저하, 그리고 수퍼필링의 어려움 등 여러 가지 문제를 야기하고 있다. 본 총설에서는 상기 기술한 문제점을 해결하기 위해 구리의 미세 구조 개선을 위한 첨가제의 개발, 펄스 및 펄스-리벌스 전해 도금의 적용, 고 신뢰성 배선 형성을 위한 구리 기반 합금의 수퍼필링, 그리고 수퍼필링 특성 향상에 관한 다양한 연구를 소개한다.

Color Evolution in Single Crystal Colored Cubic Zirconias With Annealing Atmosphere and Temperature

  • Song, Jeongho;Noh, Yunyoung;Song, Ohsung
    • 한국세라믹학회지
    • /
    • 제53권4호
    • /
    • pp.450-455
    • /
    • 2016
  • Color change in single-crystal, yellow, red, purple, and colorless cubic zirconias (CZs) was investigated as a function of annealing in vacuum and air atmosphere at $800-1400^{\circ}C$ for 30 min, for development of a damascene process of plugging a precious metal paste at the elevated temperature. Coloring-element contents of the CZs were evaluated using WD-XRF, and the color change determined visually by naked eye, and using a digital camera and UV-Vis-NIR color analyzer. WD-XRF showed that all of the CZs had cubic-phase stabilizer elements and coloring elements. All CZs that underwent vacuum annealing exhibited a slight color change at $<900^{\circ}C$, while their colors began to change to black at $1100^{\circ}C$, and became opaque black at $1400^{\circ}C$. After air annealing, there was almost no color change up to $1400^{\circ}C$. Since red and purple CZs showed greater color difference (CD) values than the others, the degree of CD is likely to depend on the original color of the CZ due to the different stabilities of their coloring elements during annealing. Based on our results, it is suggested that annealing in air at $<900^{\circ}C$ is advantageous, and assorted colored CZs can be used for precious metal damascene.

Antiglycation and antioxidant activity of four Iranian medical plant extracts

  • Safari, Mohammad Reza;Azizi, Omid;Heidary, Somayeh Sadat;Kheiripour, Nejat;Ravan, Alireza Pouyandeh
    • 대한약침학회지
    • /
    • 제21권2호
    • /
    • pp.82-89
    • /
    • 2018
  • Objective: Diabetes mellitus (DM) is the most common metabolic disorder that defined by chronic hyperglycemia for the deficiency in insulin secretion or resistance. Hyperglycemia could induce non-enzymatic glycation of proteins. It has been suggested that some traditional plants can improve blood glucose and inhibit glycation process. This work evaluates and compares the anti-glycation activities of four Iranian plant extracts in vitro. Methods: The methanolic extract of "Fumaria officinalis, Stachys lavandulifolia, Salvia hydrangea and Rosa Damascene" was prepared in three different concentrations. Phenolic, flavonoids content and antioxidant activity were evaluated. The multistage glycation markers- fructosamines (early stage), protein carbonyls (intermediate stage) and ${\beta}$ aggregation of albumin were investigated in the bovine serum albumin (BSA)/ glucose systemt. Results: All plants showed the high potency of scavenging free radicals and glycation inhibition in the following order: Fumaria officinalis> Rosa Damascene> Stachys lavandulifolia > Salvia hydrangea. There was a significant correlation between antioxidant and anti-glycation activity. Also, the antioxidant and anti-glycation capacity of extracts correlated with total phenolic and flavonoids content. Conclusion: Our findings demonstrated that the studied plants are good sources of anti-glycation and antioxidant compounds and, these properties can primarily attributable to phenolics, particularly flavonoids.