• Title/Summary/Keyword: digital phase-locked loop

Search Result 157, Processing Time 0.027 seconds

A Study on the Performance Improvement of Digital Phase-Locked Loop Using a Half Period Sampling (반주기 표본화를 이용한 디지탈 위상동기회로의 성능개선에 관한 연구)

  • 최영준;강철호
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.12 no.5
    • /
    • pp.478-491
    • /
    • 1987
  • In this paper, an all Digital Phase-Locked Loop(DPLL) has been propoed, which has reduced the phase error by using a half period sampling in order to improve the performance of the conventional DPLL which tracks the phase of incoming sinusoidal signal once per cycle for the Positive Going Zero crossing(PGZC) of the signal. The proposed DPLL tracks the phase of input signal twice per cycle with two samplers for the PGZC. So the loop has a half reduction of the steady state phase error fluctuation ranges without decreasing the lock-range in a whole, comparing with that of the conventional DPLL. Also, it has been known that the proposed loop is rapidly locked to input signal for the same valves of phase differenc between sucessive samples and quantization level. The analytic results of the proposed loop have been verified by computer simulation for the practically requeired conditions.

  • PDF

Phase-Locked Loops using Digital Calibration Technique with counter (카운터 기반 디지털 보상 기법을 이용한 위상 고정 루프)

  • Jeong, Chan-Hui;Abdullah, Ammar;Lee, Kwan-Joo;Kim, Hoon-Ki;Kim, Soo-Won
    • The Transactions of The Korean Institute of Electrical Engineers
    • /
    • v.60 no.2
    • /
    • pp.320-324
    • /
    • 2011
  • A digital technique is adopted to calibrate the current mismatch of the charge pump (CP) in phase-locked loops. A 2 GHz charge pump PLL (CPPLL) is used to justify the proposed calibration technique. The proposed digital calibration technique is implemented simply using a counter. The proposed calibration technique reduces the calibration time by up to a maximum of 50% compared other with techniques. Also by using a dual-mode CP, good current matching characteristics can be achieved to compensate $0.5{\mu}A$ current mismatch in CP. It was designed in a standard $0.13{\mu}m$ CMOS technology. The maximum calibration time is $33.6{\mu}s$ and the average power is 18.38mW with 1.5V power supply and effective area is $0.1804mm^2$.

Polar Transmitter with Differential DSM Phase and Digital PWM Envelope

  • Zhou, Bo;Liu, Shuli
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.14 no.3
    • /
    • pp.313-321
    • /
    • 2014
  • A low-power low-cost polar transmitter for EDGE is designed in $0.18{\mu}m$ CMOS. A differential delta-sigma modulator (DSM) tunes a three-terminal voltage-controlled oscillator (VCO) to perform RF phase modulation, where the VCO tuning curve is digitally pre-compensated for high linearity and the carrier frequency is calibrated by a dual-mode low-power frequency-locked loop (FLL). A digital intermediate-frequency (IF) pulse-width5 modulator (PWM) drives a complementary power-switch followed by an LC filter to achieve envelope modulation with high efficiency. The proposed transmitter with 9mW power dissipation relaxes the time alignment between the phase and envelope modulations, and achieves an error vector magnitude (EVM) of 4% and phase noise of -123dBc/Hz at 400kHz offset frequency.

Acquisition Behavior of a Class of Digital Phase-Locked Loops (Digital Phase-Locked Loops의 위상 포착 관정에 관한 연구)

  • 안종구;은종관
    • Journal of the Korean Institute of Telematics and Electronics
    • /
    • v.19 no.5
    • /
    • pp.55-67
    • /
    • 1982
  • In this Paper new results relating to the acquisition behavior of a class of first-and secondorder digital phase-locked loops (DPLL) originally proposed by Reddy and Cupta are presented in the absence of noise. It has been found that the number of quantization levels L and the number of phase error states N play important roles in acquisition. For a given L-level quantizer, as N increases, the acquisition time increases, and the lock range decreases. However, the deviation of the steady state phase error decreases in this case. When L increases, the acquisition time decreases, and the lock range increases. However, variation of L affects little for the steady state phase error. In addition, the effects of a loop filter on acquisition have also been considered. One can get smaller acquisition time and larger lock range as the filter parameter value becomes larger. However, deviation of the steady state phase error increases in that case. Analytical results have been verified by computer simulation.

  • PDF

A 1.8 V 0.18-μm 1 GHz CMOS Fast-Lock Phase-Locked Loop using a Frequency-to-Digital Converter

  • Lee, Kwang-Hun;Jang, Young-Chan
    • Journal of information and communication convergence engineering
    • /
    • v.10 no.2
    • /
    • pp.187-193
    • /
    • 2012
  • A 1 GHz CMOS fast-lock phase-locked loop (PLL) is proposed to support the quick wake-up time of mobile consumer electronic devices. The proposed fast-lock PLL consists of a conventional charge-pump PLL, a frequency-to-digital converter (FDC) to measure the frequency of the input reference clock, and a digital-to-analog converter (DAC) to generate the initial control voltage of a voltage-controlled oscillator (VCO). The initial control voltage of the VCO is driven toward a reference voltage that is determined by the frequency of the input reference clock in the initial mode. For the speedy measurement of the frequency of the reference clock, an FDC with a parallel architecture is proposed, and its architecture is similar to that of a flash analog-to-digital converter. In addition, the frequency-to-voltage converter used in the FDC is designed simply by utilizing current integrators. The circuits for the proposed fast-lock scheme are disabled in the normal operation mode except in the initial mode to reduce the power consumption. The proposed PLL was fabricated by using a 0.18-${\mu}m$ 1-poly 6-metal complementary metal-oxide semiconductor (CMOS) process with a 1.8 V supply. This PLL multiplies the frequency of the reference clock by 10 and generates the four-phase clock. The simulation results show a reduction of up to 40% in the worstcase PLL lock time over the device operating conditions. The root-mean-square (rms) jitter of the proposed PLL was measured as 2.94 ps at 1 GHz. The area and power consumption of the implemented PLL are $400{\times}450{\mu}m^2$ and 6 mW, respectively.

Research on In-band Spurious Evasion Techniques of Hybrid Frequency Synthesizer

  • Kim, Seung-Woo;Yoo, Woo-Sung
    • Journal of IKEEE
    • /
    • v.19 no.2
    • /
    • pp.176-185
    • /
    • 2015
  • The study aims to a design hybrid frequency synthesizer in spectrum analyzer and to propose new techniques designed for evasion of in-band spurious. The study focuses on calculating the exact location of multiple phase locked loop of hybrid frequency synthesizer and spurious of direct digital synthesizer to evade in-band spurious outside of frequency range that the user wants to see and thereby simulating technique to improve input related spurious of spectrum analyzer for algorithm. The proposed technique is designed to calculate spurious evasion algorithm in central processing system when in-band spurious arises, and to move output frequency of DDS(direct digital synthesizer) into the place where no in-band spurious exists thereby improving performance of frequency synthesizer. The study used simulation and result representation to prove the effectiveness of the proposed technique.

A Frequency Model of OCXO for Holdover Mode of DP-PLL (DP-PLL의 Holdover 모드에 대한 OCXO의 주파수 모델)

  • Han, Wook;Hwang, Jin-Kwon;Kim, Yung-Kwon
    • Journal of IKEEE
    • /
    • v.4 no.2 s.7
    • /
    • pp.266-273
    • /
    • 2000
  • A frequency model of an OCXO (Oven Controlled X-tal Oscillator) is suggested to implement a holdover algorithm in a DP-PLL (Digital Processing-Phase Locked Loop) system. This model is presented simply with second order polynomials with respect to temperature and aging of the OCXO. The model parameters are obtained from experimental data by applying the LSM (Least Squared Method). A holdover algorithm is also suggest using the frequency model. The obtained model is verified to simulate the holdover algorithm with experimental phase data due to variation of temperature.

  • PDF

Characteristic Improvement of Phase-Locked Technique for UPS (UPS용 위상동기화기법의 특성개선)

  • Kim, J.H.;Kim, B.J.;Jung, Y.S.;Kwak, J.S.;Choi, J.H.
    • Proceedings of the KIEE Conference
    • /
    • 1995.07a
    • /
    • pp.397-399
    • /
    • 1995
  • An UPS must be synchronized in frequency and phase relationship with the mains power supply. This paper describes and tests a digital phase-locked loop(DPLL) circuit of the open-loop method designed by full software with TMS320c31 digital signal processor. finally, the performance of the proposed DPLL is shown and discussed through simulation and experiment.

  • PDF

Design and Fabrication of a Offset-PLL with DAC (DAC를 이용한 Offset-PLL 설계 및 제작)

  • Lim, Ju-Hyun;Song, Sung-Chan
    • The Journal of Korean Institute of Electromagnetic Engineering and Science
    • /
    • v.22 no.2
    • /
    • pp.258-264
    • /
    • 2011
  • In this paper, we designed a frequency synthesizer with a low phase noise and fast lock time and excellent spurious characteristics using the offset-PLL(Phase Locked Loop) that is used in GSM(Global System for Mobile communications). The proposed frequency synthesizer has low phase noise using three times down conversion and third offset frequency of this synthesizer is created by DDS(Direct Digital Synthesizer) to have high frequency resolution. Also, this synthesizer has fast switching speed using DAC(Digital to Analog Converter). but phase noise degraded due to DAC. we improved performance using the DAC noise filter.

An 128-phase PLL using interpolation technique

  • Hayun Chung;Jeong, Deog-kyoon;Kim, Wonchan
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.3 no.4
    • /
    • pp.181-187
    • /
    • 2003
  • This paper presents an 125MHz, 128-phase phase-locked loop using interpolation technique for digital timing recovery. To reduce the power consumption and chip area, phase interpolation was performed over only selected windows, instead of overall period. Four clocks were used for phase interpolation to avoid the output jitter increase due to the interpolation clock (clock used for phase interpolation) switching. Also, the output clock was fed back to finite-state machine (FSM) where the multiplexer selection signals are generated to eliminate the possible output glitches. The PLL implemented in a $0.25\mu\textrm{m}$ CMOS process and dissipates 80mW at 2.5V supply and occupies $0.84\textrm{mm}^2.