• 제목/요약/키워드: chemical mechanical planarization (CMP)

검색결과 218건 처리시간 0.026초

반도체 디바이스 제조 공정에서의 전기화학적 현상 (Electrochemical phenomenon in Semiconductor Device Manufacturing Process)

  • 황응림
    • 한국표면공학회:학술대회논문집
    • /
    • 한국표면공학회 2015년도 추계학술대회 논문집
    • /
    • pp.203-203
    • /
    • 2015
  • 반도체 제조 공정 중에 CMP(Chemical Mechanical Planarization)는 디바이스의 집적도(degree of integration)에 크게 영향을 미치고 있으므로, 20nm급 이하의 디바이스에서 CMP 공정 안정화는 양질의 소자 특성을 확보하기 위해서는 시급한 문제가 되고 있다. CMP 공정 안정화를 위해서는 여러 가지 해결되어야 할 문제가 있는데, 그 중에서도 W plug 연마 공정 중에 관찰되고 있는 W missing은 전기 배선의 신뢰성에 직접 영향을 주고 있으므로 공정 엔지니어에게는 도전적인 과제이다. 본 연구에서는 W missing 현상을 전기화학적인 입장에서 해석하고 몇 가지 해결책을 제기하고자 한다.

  • PDF

강유전체막의 CMP 연마 특성 (Chemical Mechanical Polishing (CMP) Characteristics of Ferroelectric Film)

  • 서용진;박성우;김경태;김창일;장의구;김상용;이우선
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2003년도 추계학술대회 논문집 Vol.16
    • /
    • pp.140-143
    • /
    • 2003
  • BST thin films have a good thermal-chemical stability, insulating effect and variety of phases. However, BST thin films have problems of the aging effect and mismatch between the BST thin film and electrode. Also, due to the high defect density and surface roughness at grain boundarys and in the grains, which degrades the device performances. In order to overcome these weakness, we first applied the chemical mechanical polishing (CMP) process to the polishing of ferroelectric film in order to obtain a good planarity of electrode/ferroelectric film interface. BST ferroelectric film was fabricated by the sol-gel method. And then, we compared the structural characteristics before and after CMP process of BST films. We expect that our results will be useful promise of global planarization for FRAM application in the near future.

  • PDF

미세 표면 구조물을 갖는 패드의 제작 및 STI CMP 특성 연구 (Development of Microstructure Pad and Its Performances in STI CMP)

  • 정석훈;정재우;박기현;서현덕;박재홍;박범영;주석배;최재영;정해도
    • 한국전기전자재료학회논문지
    • /
    • 제21권3호
    • /
    • pp.203-207
    • /
    • 2008
  • Chemical mechanical polishing (CMP) allows the planarization of wafers with two or more materials. There are many elements such as slurry, polishing pad, process parameters and conditioning in CMP process. Especially, polishing pad is considered as one of the most important consumables because this affects its performances such as WIWNU(within wafer non-uniformity) and MRR(material removal rate). In polishing pad, grooves and pores on its surface affect distribution of slurry, flow and profile of MRR on wafer. A subject of this investigation is to apply CMP for planarization of shallow trench isolation structure using microstructure(MS) pad. MS pad is designed to have uniform structure on its surface and manufactured by micro-molding technology. And then STI CMP performances such as pattern selectivity, erosion and comer rounding are evaluated.

고압 중수소 어닐링을 통한 SiO2 절연체의 균일성 개선 (Enhancement of SiO2 Uniformity by High-Pressure Deuterium Annealing)

  • 김용식;정대한;박효준;연주원;길태현;박준영
    • 한국전기전자재료학회논문지
    • /
    • 제37권2호
    • /
    • pp.148-153
    • /
    • 2024
  • As complementary metal-oxide semiconductor (CMOS) is scaled down to achieve higher chip density, thin-film layers have been deposited iteratively. The poor film uniformity resulting from deposition or chemical mechanical planarization (CMP) significantly affects chip yield. Therefore, the development of novel fabrication processes to enhance film uniformity is required. In this context, high-pressure deuterium annealing (HPDA) is proposed to reduce the surface roughness resulting from the CMP. The HPDA is carried out in a diluted deuterium atmosphere to achieve cost-effectiveness while maintaining high pressure. To confirm the effectiveness of HPDA, time-of-flight secondary-ion mass spectrometry (ToF-SIMS) and atomic force microscopy (AFM) are employed. It is confirmed that the absorbed deuterium gas facilitates the diffusion of silicon atoms, thereby reducing surface roughness.

산화막CMP의 연마균일도 향상을 위한 웨이퍼의 에지형상제어 (Wafer Edge Profile Control for Improvement of Removal Uniformity in Oxide CMP)

  • 최성하;정호빈;박영봉;이호준;김형재;정해도
    • 한국정밀공학회지
    • /
    • 제29권3호
    • /
    • pp.289-294
    • /
    • 2012
  • There are several indicators to represent characteristics of chemical mechanical planarization (CMP) such as material removal rate (MRR), surface quality and removal uniformity on a wafer surface. Especially, the removal uniformity on the wafer edge is one of the most important issues since it gives a significant impact on the yield of chip production on a wafer. Non-uniform removal rate at the wafer edge (edge effect) is mainly induced by a non-uniform pressure from nonuniform pad curvature during CMP process, resulting in edge exclusion which means the region that cannot be made to a chip. For this reason, authors tried to minimize the edge exclusion by using an edge profile control (EPC) ring. The EPC ring is equipped on the polishing head with the wafer to protect a wafer from the edge effect. Experimental results showed that the EPC ring could dramatically minimize the edge exclusion of the wafer. This study shows a possibility to improve the yield of chip production without special design changes of the CMP equipment.

CMP 공정에서 슬러리 필터설치에 따른 결함 밀도 개선 (Improvement of Defect Density by Slurry Fitter Installation in the CMP Process)

  • 김철복;서용진;김상용;이우선;김창일;장의구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2001년도 춘계학술대회 논문집 반도체재료
    • /
    • pp.30-33
    • /
    • 2001
  • Chemical mechanical polishing(CMP) process has been widely used to planarize dielectrics, which can apply to employed in integrated circuits for sub-micron technology. Despite the increased use of CMP process, it is difficult to accomplish the global planarization of free-defects in inter-level dielectrics (ILD). Especially, defects like micro-scratch lead to severe circuit failure, and affects yield. CMP slurries can contain particles exceeding $1{\mu}m$ size, which could cause micro-scratch on the wafer surface. The large particles in these slurries may be caused by particle agglomeration in slurry supply line. To reduce these defects, slurry filtration method has been recommended in oxide CMP. In this work, we have studied the effects of filtration and the defect trend as a function of polished wafer count using various filters in inter-metal dielectric(IMD)-CMP. The filter installation in CMP polisher could reduce defect after IMD-CMP. As a result of micro-scratches formation, it shows that slurry filter plays an important role in determining consumable pad lifetime.

  • PDF

CMP 공정에서 마이크로 스크래치 감소를 위한 슬러리 필터의 특성 (Characteristics of Slurry Filter for Reduction of CMP Slurry-induced Micro-scratch)

  • 김철복;김상용;서용진
    • 한국전기전자재료학회논문지
    • /
    • 제14권7호
    • /
    • pp.557-561
    • /
    • 2001
  • Chemical mechanical polishing (CMP) process has been widely used to planarize dielectric layers, which can be applied to the integraded circuits for sub-micron technology. Despite the increased use of CMP process, it is difficult to accomplish the global planarization of in the defect-free inter-level dielectrics (ILD). Especially, defects such as micro-scratch lead to severe circuit failure which affect yield. CMP slurries can contain particles exceeding 1㎛ in size, which could cause micro-scratch on the wafer surface. The large particles in these slurries may be caused by particles agglomeration in slurry supply line. To reduce these defects, slurry filtration method has been recommended in oxide CMP. In this work, we have studied the effects of filtration and the defect trend as a function of polished wafer count using various filters in inter-metal dielectrics(IMD)-CMP process. The filter installation in CMP polisher could reduce defects after IMD-CMP process. As a result of micro-scratch formation, it is shown that slurry filter plays an important role in determining consumable pad lifetime. The filter lifetime is dominated by the defects. We have concluded that slurry filter lifetime is fixed by the degree of generating defects.

  • PDF

텅스텐 CMP에서 산화제 영향에 관한 연구 (A Study on Oxidizer Effects in Tungsten CMP)

  • 박범영;이현섭;박기현;정석훈;서헌덕;정해도;김호윤;김형재
    • 한국전기전자재료학회논문지
    • /
    • 제18권9호
    • /
    • pp.787-792
    • /
    • 2005
  • Chemical mechanical polishing(CMP) has become the process of choice for modem semiconductor devices to achieve both local and global planarization. CMP is a complex process which depends on numerous variables such as macro, micro and nano-geometry of pad, relative velocity between pad and wafer stiffness and dampening characteristics of pad, slurry, pH, chemical components of slurry, abrasive concentration, abrasive size, abrasive shape, etc. Especially, an oxidizer of chemical components is very important remove a target material in metal CMP process. This paper introduces the effect of oxidizer such as $H_2O_2,\;Fe(NO_3)_3\;and\;KIO_3$ in slurry for tungsten which is used in via or/and plug. Finally the duplex reacting mechanism of $oxidizer(H_2O_2)$ through adding the $catalyst(Fe(NO_3)_3)$ could acquire the sufficient removal rate in tungsten CMP.