• 제목/요약/키워드: Trench Etching

검색결과 52건 처리시간 0.032초

트렌티 식각시 식각 방지막의 형성과 이들이 결함 생성에 미치는 영향 (Formation of Passivation Layer and Its Effect on the Defect Generation during Trench Etching)

  • 이주욱;김상기;김종대;구진근;이정용;남기수
    • 한국재료학회지
    • /
    • 제8권7호
    • /
    • pp.634-640
    • /
    • 1998
  • HBr을 이용한 트렌치 식각시 식각 방지막의 형성과 이들이 결함 생성 및 분포에 미치는 영향을 고분해능 투과전자현미경을 이용하여 연구하였다. $O_2$ 및 다른 첨가 가스로 $SiO_xF_y$, $SiO_xBr_y$ 등의 식각 방지막을 표면에 형성시켜 벽면 undercut을 방지하고 표면의 거칠기를 감소할 수 있었으며, 이후의 트렌치 채움 공정에서 void 가 없는 잘 채원진 구조를 얻을 수 있었다. 형성된 식각 방지막은 격자 결함의 생성 및 이들의 분포에 영향을 미쳤다. 대부분의 식각 유도 결함들은 트렌치 바닥의 가장자리에서 $10\AA$ 이내의 깊이로 분포하였으며, 잔류막의 두께에 의존하였다. 두꺼운 잔류막층 아래로는 결함들이 거의 사라졌으며, 결함층의 깊이와 잔류막 두께는 대체로 반비례하는 것을 나타났다. 기판 내에 존재하는 결정학적인 결함들은 식각종의 입사각이나 에너지에 의존하는 반면에,식각된 표면에서 관찰되는 결함들은 트렌치 식각동안 형성되는 이러한 잔류막의 두께에 크게 의존하는 것으로 나타났다.

  • PDF

멤스 프로브 카드를 위한 깊은 트렌치 안에서 S 모양의 일체형 미세피치 외팔보 프로브 형성공정 개발 (Process Development of Forming of One Body Fine Pitched S-Type Cantilever Probe in Recessed Trench for MEMS Probe Card)

  • 김봉환
    • 대한전자공학회논문지SD
    • /
    • 제48권1호
    • /
    • pp.1-6
    • /
    • 2011
  • 본 논문에서는 미세피치 프로브 카드 제작을 위한 S 모양의 일체형 외팔보 프로브 형성방법에 대하여 기술하였다. 마세 피치 프로브를 위하여 Deep RIE etching을 이용하여 실리콘 트렌치 안에 일체형 프로브 빔과 탑을 형성하는 방법을 사용하였고, 피라미드 팁의 형성을 위하여 KOH 및 TMAH 습식식각을 이용하였으며, 습식식각시 방향성을 가지는 실리콘 웨이퍼에서도 휘어진 형태의 프로브 빔을 형성할 수 있는 건식 식각 및 습식식각 방법을 제시하였다. 따라서 제작된 외팔보 형태의 프로브는 디렘(DRAM), 플레시 메모리 (Flash memory) 용 프로브 카드 제작에 사용될 뿐만 아니라 RF 소자용 프로브 카드, 아이씨 테스트 소켓 (IC test socket)용 프로브 탐침에도 사용 될 것이다.

고성능 MEMS 소자를 위한 순방향 전극이 걸린 PN 접합을 이용한 나노 간격 홈의 식각 (Nano-gap Trench Etching using Forward Biased PN Junction for High Performance MEMS Devices)

  • 정진우;김현철;전국진
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 2005년도 추계종합학술대회
    • /
    • pp.833-836
    • /
    • 2005
  • Nano-gap trench is fabricated by the novel electrochemical etching technique using forward biased PN junction formed at the backside of the wafer. PN junction is formed using boron nitride wafer and the concentration of the boron doping is the high value of $1{\times}10^{19}$ $cm^{-3}$. The electro-chemical etching is performed in the 5% HF solution under the forward bias voltage of $1{\sim}2V$. The relationship between the etch rate of the trench and the voltage of the forward bias is investigated and the dependence of the gap for the voltage also examined. The etch rate increase from 0.027 ${\mu}m/min$ to 0.031 ${\mu}m/min$ as the value of the applied voltage increase from 1V to 2V, but the the gap is kept constant value of 40 nm.

  • PDF

$Ar^+$ ion laser를 이용한 단결정/다결정 Si 식각 특성 분석 (Analysis of single/poly crystalline Si etching characteristics using $Ar^+$ ion laser)

  • 이현기;박정호;이천
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 1998년도 추계학술대회 논문집 학회본부 C
    • /
    • pp.1001-1003
    • /
    • 1998
  • In this paper, $Ar^+$ ion laser etching process of single/poly crystalline silicon with $CCl_{2}F_{2}$ gas is studied for MEMS applications. To investigate the effects of process parameters, laser power, gas pressure, scanning speed were varied and multiple scanning was carried out to obtain high aspect ratio. In addition, scanning width was varied to observe the trench profile etched in repeating scanning cycle. From the etching of $2.6{\mu}m$ thick polycrystalline Si deposited on insulator, trench with flat bottom and vertical side wall was obtained and it is possible to apply this results for MEMS applications.

  • PDF

CMP 연마를 통한 STI에서 결함 감소 (A Study of Chemical Mechanical Polishing on Shallow Trench Isolation to Reduce Defect)

  • 백명기;김상용;김창일;장의구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 1999년도 춘계학술대회 논문집
    • /
    • pp.501-504
    • /
    • 1999
  • In the shallow trench isolation(STI) chemical mechanical polishing(CMP) process, the key issues are the optimized thickness control within- wafer-non-uniformity, and the possible defects such as nitride residue and pad oxide damage. These defects after STI CMP process were discussed to accomplish its optimum process condition. To understand its optimum process condition, overall STI related processes including reverse moat etch, trench etch, STI filling and STI CMP were discussed. It is represented that the nitride residue can be occurred in the condition of high post CMP thickness and low trench depth. In addition there are remaining oxide on the moat surface after reverse moat etch. It means that reverse moat etching process can be the main source of nitride residue. Pad oxide damage can be caused by over-polishing and high trench depth.

  • PDF

실리콘 트랜치 구조 형성용 유전체 평탄화 공정 (Dielectric Layer Planarization Process for Silicon Trench Structure)

  • 조일환;서동선
    • 전기전자학회논문지
    • /
    • 제19권1호
    • /
    • pp.41-44
    • /
    • 2015
  • 소자의 집적화에 필수적인 소자 분리공정에서 화학약품의 오염 문제등을 발생시키는 화학적 기계연마기술(CMP) 공정을 사용하지 않고 벌크 finFET(fin field effect transistor) 의 트랜치 구조를 형성할 수 있는 공정에 대하여 제안하였다. 사진 감광막 도포시 발생하는 두께차이와 희생층으로 사용되는 실리콘 질화막을 사용하면 에칭 공정만을 사용하여 상대적으로 표면 위로 돌출된 부분의 실리콘 산화막 층을 에칭하는 것은 물론 finFET 의 채널로 사용되는 실리콘 트랜치 구조를 한번에 형성할 수 있는 특징을 갖는다. 본 연구에서는 AZ1512 사진 감광막을 사용하여 50 나노미터급 실리콘 트랜치 구조를 형성하는 공정을 수행하였으며 그 결과를 소개한다.

Silicon trench etching using inductively coupled Cl2/O2 and Cl2/N2 plasmas

  • Kim, Hyeon-Soo;Lee, Young-Jun;Young, Yeom-Geun
    • Journal of Korean Vacuum Science & Technology
    • /
    • 제2권2호
    • /
    • pp.122-132
    • /
    • 1998
  • Characteristics of inductively coupled Cl2/O2 and Cl2/N2 plasmas and their effects on the formation of submicron deep trench etching of single crystal silicon have been investigated using Langmuir probe, quadrupole mass spectrometer (QMS), X-ray photoelectron spectroscopy (XPS), and scanning electron microscopy (SEM), Also, when silicon is etched with oxygen added chlorine plasmas, etch products recombined with oxygen such as SiClxOy emerged and Si-O bondings were found on the etched silicon surface. However, when nitrogen is added to chlorine, no etch products recombined with nitrogen nor Si-N bondings were found on the etched silicon surface. When deep silicon trenches were teached, the characteristics of Cl2/O2 and Cl2/N2 plasmas changed the thickness of the sidewall residue (passivation layer) and the etch profile. Vertical deep submicron trench profiles having the aspect ratio higher than 5 could be obtained by controlling the thickness of the residue formed on the trench sidewall using Cl2(O2/N2) plasmas.

  • PDF

실리콘 트렌치 식각 특성에 미치는 $He-O_2,\; SiF_4$첨가 가스의 영향 (Characteristics of silicon etching related to $He-O_2,\; SiF_4$for trench formation)

  • 김상기;이주욱;김종대;구진근;남기수
    • 한국진공학회지
    • /
    • 제6권4호
    • /
    • pp.364-371
    • /
    • 1997
  • MERIE 플라즈마 장비를 사용하여 실리콘의 트렌치 식각을 HBr, He-$O_2,SiF_4,CF_4$ 등의 가스를 주입하여 수행하였으며 식각 속도, 식각 프로파일 변화, 잔류물 생성 및 표면 상태 등을 관찰하였다. HBr만을 이용한 플라즈마 식각시에는 트렌치 하부 영역에 상당한 횡방향 식각이 일어나 항아리 모양의 식각 프로파일이 관찰되었으며, HBr에 He-$O_2$가스와 $SiF_4$$CF_4$등의 주입량을 변화시켜 벽면 기울기와 횡방향 식각의 정도를 제어할 수 있었다. 표면 잔류물 특성 및 표면 거칠기(roughness)등은 HBr/He-$O_2$/$SiF_4$가스를 동시에 주입하여 식각하였을 때 가장 양호한 식각 특성을 나타내었으며, 첨가 가스로 $SiF_4$를 이용함으로써 기존의 C-F계 플라즈마를 이용한 트렌치 식각 특성들보다 우수한 공정 결과를 얻었다. 또 한 $SiF_4$를 이용함으로써 $CF_4$ 첨가시보다 C의 잔류물을 크게 줄이고 표면 손상을 개선할 수 잇음을 X-선 광전자 분석과 주사전자현미경(scanning electron microscopy) 및 AFM(atomic force microscopy)의 결과로써 확인하였다.

  • PDF

자기 정열과 수소 어닐링 기술을 이용한 고밀도 트랜치 게이트 전력 DMOSFET의 전기적 특성 분석 (Analysis of Electrical Characteristics of High-Density Trench Gate Power DMOSFET Utilizing Self-Align and Hydrogen Annealing Techniques)

  • 박훈수;김종대;김상기;이영기
    • 한국전기전자재료학회논문지
    • /
    • 제16권10호
    • /
    • pp.853-858
    • /
    • 2003
  • In this study, a new simplified technology for fabricating high density trench gate DMOSFETs using only three mask layers and TEOS/nitride spacer is proposed. Due to the reduced masking steps and self-aligned process, this technique can afford to fabricate DMOSFETs with high cell density up to 100 Mcell/inch$^2$ and cost-effective production. The resulting unit cell pitch was 2.3∼2.4${\mu}$m. The fabricated device exhibited a excellent specific on-resistance characteristic of 0.36m$\Omega$. cm$^2$ with a breakdown voltage of 42V. Moreover, time to breakdown of gate oxide was remarkably increased by the hydrogen annealing after trench etching.