• Title/Summary/Keyword: Polishing Film

Search Result 207, Processing Time 0.028 seconds

Effect of Organic wax residues and particles removal by DIO3 (ozonated DI water) after Silicon Wafer batch Polishing Process (오존수를 이용한 실리콘 웨이퍼 연마 후 지용성 왁스 및 오염입자 제거의 영향)

  • Yi, Jae-Hwan;Lee, Seung-Ho;Kim, Tae-Gon;Park, Jin-Goo;Lee, Gun-Ho;Bae, So-Ik
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.06a
    • /
    • pp.558-559
    • /
    • 2007
  • A commercially de-waxer which kinds of solvent after was used to remove a thick organic wax film after polishing process and several steps of SC-1 cleanings were followed for the removal of organic wax residues and particles which requires long process time and high cost of ownership (COO). DIO3 was used to remove organic wax residues too achieve low COO. In this study, 0103 rinsing could use instead of 01 water rinsing. The process time and chemical consumption were reduced by using DIO3.

  • PDF

Study on Polishing Mechanism of Thermal Oxide Film after High-Temperature Conditioning (고온 패드 컨디셔닝 후 열산화막 연마 메커니즘 연구)

  • Choi, Gwon-Woo;Kim, Nam-Hoon;Seo, Yong-Jin;Lee, Woo-Sun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2005.07a
    • /
    • pp.193-194
    • /
    • 2005
  • By the high-temperature pad conditioning process: The slurry residues in pores and grooves of the polishing pad were clearly removed. These clear pores and enlarged grooves made the slurry attack the oxide surface. The changed slurry properties by high-temperature pad conditioning process made the oxide surface hydro-carbonate to be removed easily.

  • PDF

The Study on the CMP of Transparent Conductive ITO Thin Films for the Organic Electro-Luminescence Display (유기 전계 발광 디스플레이용 ITO 투명 전도성 박막의 CMP에 관한 연구)

  • Jo, Seong-Hwan;Kim, Hyeong-Jae;Kim, Gyeong-Jun;Jeong, Hae-Do
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.26 no.5
    • /
    • pp.976-985
    • /
    • 2002
  • The purpose of this paper is that the roughness(Rrms = 31$\AA$, Rp-v = 270$\AA$) of ITO thin film deposited by sputtering method for OELD is improved to Rrms $\leq$ 10$\AA$, Rp-v $\leq$ 80$\AA$ by chemical mechanical polishing(CMP). First, ITO thin films are polished with a variety of consumables (Pads, Slurries) to choose proper some for the roughness improvement and the CMP mechanism of ITO thin films is demonstrated on the ground of the experiment results. Henceforth, the CMP characteristics (Removal rate, Non-uniformity) of chosen consumables are evaluated according to processing conditions (Polishing pressures, Table velocities) and suitable conditions for ITO film CMP are selected. Finally, the electrical and optical properties (Sheet resistance, Transmittance) of ITO thin films are investigated to verify whether or not ITO thin film are still suitable for OELD after polished.

A Study on CMP Properties of SnO2 Thin Film for Application of Gas Sensor (가스센서 적용을 위한 SnO2 박막의 CMP 특성 연구)

  • Lee, Woo-Sun;Choi, Gwon-Woo;Kim, Nam-Hoon;Park, Jin-Seong;Seo, Yong-Jin
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.12
    • /
    • pp.1296-1300
    • /
    • 2004
  • SnO$_2$ is one of the most suitable gas sensor materials. The microstructure and surface morphology of films must be controlled because the electrical and optical properties of SnO$_2$ films depend on these characteristics. The effects of chemical mechanical polishing(CMP) on the variation of morphology of SnO$_2$ films prepared by RF sputtering system were investigated. The commercially developed ceria-based oxide slurry, silica-based oxide slurry, and alumina-based tungsten slurry were used as CMP slurry. Non-uniformities of all slurries met stability standards of less than 5 %. Silica slurry had the highest removal rate among three different slurries, sufficient thin film topographies and suitable root mean square(RMS) values.

Dependence of Dishing on Fluid Pressure during Chemical Mechanical Polishing

  • Higgs III, C. Fred;Ng, Sum Huan;Zhou, Chunhong;Yoon, In-Ho;Hight, Robert;Zhou, Zhiping;Yap, LipKong;Danyluk, Steven
    • Proceedings of the Korean Society of Tribologists and Lubrication Engineers Conference
    • /
    • 2002.10b
    • /
    • pp.441-442
    • /
    • 2002
  • Chemical mechanical polishing (CMP) is a manufacturing process that uses controlled wear to planarize dielectric and metallic layers on silicon wafers. CMP experiments revealed that a sub-ambient film pressure developed at the wafer/pad interface. Additionally, dishing occurs in CMP processes when the copper-in-trench lines are removed at a rate higher than the barrier layer. In order to study dishing across a stationary wafer during polishing, dishing maps were created. Since dishing is a function of the total contact pressure resulting from the applied load and the fluid pressure, the hydrodynamic pressure model was refined and used in an existing model to study copper dishing. Density maps, highlighting varying levels of dishing across the wafer face at different radial positions, were developed. This work will present the results.

  • PDF

Surface Properties of Electrolytic-Polished 316L Stainless Steel Welding Tube for Semi-Conductor Fab. - As the Relation of Electrolysis Conditions with Surface Characteristics - (반도체 제조 설비용 전해 연마된 STS316L 용접강관의 표면 성질 - 전해 조건과 표면 성상의 관계를 중심으로 -)

  • Kim, Ki-Ho;Cho, Bo-Yeon
    • Journal of the Korean institute of surface engineering
    • /
    • v.41 no.1
    • /
    • pp.38-42
    • /
    • 2008
  • 316L stainless steel welding tube was electrolytically polished and the inner surface characteristics of the tube were tested. Electro-polishing variables such as current, voltage, concentration of electrolyte and electropolishing time were changed to seek for optimum condition. These makes a optimum conditions for the electro-polishing as 4000 A, 9 V, 1.7 specific gravity of electrolyte, and 30 minute of electro-polishing time. It makes the surface roughness as Ra < $0.25{\mu}m$. XPS test resulted as the ratio of CrO/FeO equals or more to 3/1. AES test resulted as the thickness of CrO film of $38{\AA}$. DTA test resulted as the tube did not react with $N_2,\;H_2\;and\;O_2$ gas below 1073K. As summarize above results, the electro- polished 316L stainless steel welding tube satisfied the conditions to apply as a pipeline for semi- conductor production facility and clean room.

Role of Oxidants for Metal CMP Applications (금속 CMP 적용을 위한 산화제의 역할)

  • 서용진;김상용;이우선
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.4
    • /
    • pp.378-383
    • /
    • 2004
  • Tungsten is widely used as a plug for the multi-level interconnection structures. However, due to the poor adhesive properties of tungsten(W) on SiO$_2$ layer, the Ti/TiN barrier layer is usually deposited onto SiO$_2$ for increasing adhesion ability with W film. Generally, for the W-CMP(chemical mechanical polishing) process, the passivation layer on the tungsten surface during CMP plays an important role. In this paper, the effect of oxidant on the polishing selectivity of W/Ti/TiN layer was investigated. The alumina(A1$_2$O$_3$)-based slurry with $H_2O$$_2$ as the oxidizer was used for CMP applications. As an experimental result, for the case of 5 wt% oxidizer added, the removal rates were improved and polishing selectivity of 1.4:1 was obtained. It was also found that the CMP characteristics of W and Ti metal layer including surface roughness were strongly dependent on the amounts of $H_2O$$_2$ oxidizer.

CMP (Chemical Mechanical Polishing) characteristics of langasite single crystals for SAW filter applications

  • Jang, Min-Chul;An, Jin-Ho;Kim, Jong-Cheol;Auh, Keun-Ho
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.10 no.4
    • /
    • pp.309-317
    • /
    • 2000
  • Langasite is a promising new piezoelectric material for SAW filter application. Little was known until recently about the methods needed to mechanically polish and chemically polish/etch this material. In this experiment, polishing, slurry chemistry and chemical wet etching for langasite is described. Conventional quartz and LN ($LiNbO_3$) polishing methods did not produce satisfactory polished surfaces, and polishing with a colloidal silica slurries has shown to be most effective. The optimum condition was investigated by changing the slurry chemistry. As the planarization effect is very important in SAW filter applications, the examination of the effective particle number effect and the particle size effect was carried out. Z-cut langasite surface which had been polished with the colloidal silica slurries was etched in a variety of etchants. Conventional quartz etchants destroyed the polished surface. Other etchants formed a thin film on the surfaces. In this experiment, the reaction between langasite and a few etching solution was analysed. And an appropriate selective etchant solution for analyzing the defects was synthesized.

  • PDF

Degradation from Polishing Damage in Ferroelectric Characteristics of BLT Capacitor Fabricated by Chemical Mechanical Polishing Process (화학적기계적연마 공정으로 제조한 BLT Capacitor의 Polishing Damage에 의한 강유전 특성 열화)

  • Na, Han-Yong;Park, Ju-Sun;Jung, Pan-Gum;Ko, Pil-Ju;Kim, Nam-Hoon;Lee, Woo-Sun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.236-236
    • /
    • 2008
  • (Bi,La)$Ti_3O_{12}$(BLT) thin film is one of the most attractive materials for ferroelectric random access memory (FRAM) applications due to its some excellent properties such as high fatigue endurance, low processing temperature, and large remanent polarization [1-2]. The authors firstly investigated and reported the damascene process of chemical mechanical polishing (CMP) for BLT thin film capacitor on behalf of plasma etching process for fabrication of FRAM [3]. CMP process could prepare the BLT capacitors with the superior process efficiency to the plasma etching process without the well-known problems such as plasma damages and sloped sidewall, which was enough to apply to the fabrication of FRAM [2]. BLT-CMP characteristics showed the typical oxide-CMP characteristics which were related in both pressure and velocity according to Preston's equation and Hernandez's power law [2-4]. Good surface roughness was also obtained for the densification of multilevel memory structure by CMP process [3]. The well prepared BLT capacitors fabricated by CMP process should have the sufficient ferroelectric properties for FRAM; therefore, in this study the electrical properties of the BLT capacitor fabricated by CMP process were analyzed with the process parameters. Especially, the effects of CMP pressure, which had mainly affected the removal rate of BLT thin films [2], on the electrical properties were investigated. In order to check the influences of the pressure in eMP process on the ferroelectric properties of BLT thin films, the electrical test of the BLT capacitors was performed. The polarization-voltage (P-V) characteristics show a decreased the remanent polarization (Pr) value when CMP process was performed with the high pressure. The shape of the hysteresis loop is close to typical loop of BLT thin films in case of the specimen after CMP process with the pressures of 4.9 kPa; however, the shape of the hysteresis loop is not saturated due to high leakage current caused by structural and/or chemical damages in case of the specimen after CMP process with the pressures of 29.4 kPa. The leakage current density obtained with positive bias is one order lower than that with negative bias in case of 29.4 kPa, which was one or two order higher than in case of 4.9 kPa. The high pressure condition was not suitable for the damascene process of BLT thin films due to the defects in electrical properties although the better efficiency of process. by higher removal rate of BLT thin films was obtained with the high pressure of 29.4 kPa in the previous study [2].

  • PDF

Effect of Cerium Ammonium Nitrate and Alumina Abrasive Particles on Polishing Behavior in Ruthenium Chemical Mechanical Planarization (Ruthenium CMP에서 Cerium Ammonium Nitrate와 알루미나 연마 입자가 연마 거동에 미치는 영향)

  • Lee, Sang-Ho;Lee, Sung-Ho;Kang, Young-Jae;Kim, In-Kwon;Park, Jin-Goo
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.18 no.9
    • /
    • pp.803-809
    • /
    • 2005
  • Cerium ammonium nitrate (CAN) and nitric acid was used an etchant and an additive for Ru etching and polishing. pH and Eh values of the CAN and nitric acid added chemical solution satisfied the Ru etching condition. The etch rate increased linearly as the concentration of CAN increased. Nitric acid added solution had the high etch rate. But micro roughness of etched surfaces was not changed before and after etching, The removal rate of Ru film was the highest in $1wt\%$ abrasive added slurry, and not increased despite the concentration of alumina abrasive increased to $5wt\%$. Even Ru film was polished by only CAN solution due to the friction. The highest removal rate of 120nm/min was obtained in 1 M nitric acid and $1wt\%$ alumina abrasive particles added slurry. The lowest micro roughness value was observed in this slurry after polishing. From the XPS analysis of etched Ru surface, oxide layer was founded on the etched Ru surface. Therefore, Ru was polished by chemical etching of CAN solution and oxide layer abrasion by abrasive particles. From the result of removal rate without abrasive particle, the etching of CAN solution is more dominant to the Ru CMP.