• Title/Summary/Keyword: ILD CMP

Search Result 45, Processing Time 0.024 seconds

The Study on the CMP of Transparent Conductive ITO Thin Films for the Organic Electro-Luminescence Display (유기 전계 발광 디스플레이용 ITO 투명 전도성 박막의 CMP에 관한 연구)

  • Jo, Seong-Hwan;Kim, Hyeong-Jae;Kim, Gyeong-Jun;Jeong, Hae-Do
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.26 no.5
    • /
    • pp.976-985
    • /
    • 2002
  • The purpose of this paper is that the roughness(Rrms = 31$\AA$, Rp-v = 270$\AA$) of ITO thin film deposited by sputtering method for OELD is improved to Rrms $\leq$ 10$\AA$, Rp-v $\leq$ 80$\AA$ by chemical mechanical polishing(CMP). First, ITO thin films are polished with a variety of consumables (Pads, Slurries) to choose proper some for the roughness improvement and the CMP mechanism of ITO thin films is demonstrated on the ground of the experiment results. Henceforth, the CMP characteristics (Removal rate, Non-uniformity) of chosen consumables are evaluated according to processing conditions (Polishing pressures, Table velocities) and suitable conditions for ITO film CMP are selected. Finally, the electrical and optical properties (Sheet resistance, Transmittance) of ITO thin films are investigated to verify whether or not ITO thin film are still suitable for OELD after polished.

Characteristic of Oxide CMP with the Various Temperatures of Silica Slurry (실리카 슬러리의 온도 변화에 따른 산화막의 CMP 특성)

  • Ko, Pil-Ju;Park, Sung-Woo;Kim, Nam-Hoon;Seo, Yong-Jin;Chang, Eui-Goo;Lee, Woo-Sun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.11a
    • /
    • pp.707-710
    • /
    • 2004
  • Chemical mechanical polishing (CMP) process has been widely used to planarize dielectric layers, which can be applied to the integrated circuits for sub-micron technology. Despite the increased use of CMP process, it is difficult to accomplish the global planarization of in the defect-free inter-level dielectrics (ILD). In this paper, we have investigated slurry properties and CMP performance of silicon dioxide (oxide) as a function of different temperature of slurry. Thermal effects on the silica slurry properties such as pH, particle size, conductivity and zeta potential were studied. Moreover, the relationship between the removal rate (RR) with WIWNU and slurry properties caused by changes of temperature were investigated. Therefore, the understanding of these temperature effects provides a foundation to optimize an oxide CMP Process for ULSI multi-level interconnection technology.

  • PDF

The Study of Metal CMP Using Abrasive Embedded Pad (고정입자 패드를 이용한 텅스텐 CMP에 관한 연구)

  • Park, Jae-Hong;Kim, Ho-Yun;Jeong, Hae-Do
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.18 no.12
    • /
    • pp.192-199
    • /
    • 2001
  • Chemical mechanical planarization (CMP) has emerged as the planarization technique of choice in both front-end and back-end integrated circuit manufacturing. Conventional CMP process utilize a polyurethane polishing pad and liquid chemical slurry containing abrasive particles. There hale been serious problems in CMP in terms of repeatability and deflects in patterned wafers. Especial1y, dishing and erosion defects increase the resistance because they decrease the interconnection section area, and ultimately reduce the lifetime of the semiconductor. Methods to reduce dishing & erosion have recently been interface hardness of the pad, optimization of the pattern structure as dummy patterns. Dishing & erosion are initially generated an uneven pressure distribution in the materials. These defects are accelerated by free abrasives and chemical etching. Therefore, it is known that dishing & erosion can be reduced by minimizing the abrasive concentration. Minimizing the abrasive concentration by using CeO$_2$is the best solution for reducing dishing & erosion and for removal rate. This paper introduce dishing & erosion generating mechanism and a method fur developing a semi-rigid abrasive pad to minimize dishing & erosion during CMP.

  • PDF

A Study on ILD(Interlayer Dielectric) Planarization of Wafer by DHF (DHF를 적용한 웨이퍼의 층간 절연막 평탄화에 관한 연구)

  • Kim, Do-Youne;Kim, Hyoung-Jae;Jeong, Hae-Do;Lee, Eun-Sang
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.19 no.5
    • /
    • pp.149-158
    • /
    • 2002
  • Recently, the minimum line width shows a tendency to decrease and the multi-level increases in semiconductor. Therefore, a planarization technique is needed and chemical mechanical polishing(CMP) is considered as one of the most suitable process. CMP accomplishes a high polishing performance and a global planarization of high quality. However there are several defects in CMF, such as micro-scratches, abrasive contaminations and non-uniformity of polished wafer edges. Wet etching process including spin-etching can eliminate the defects of CMP. It uses abrasive-free chemical solution instead of slurry. On this study, ILD(Interlayer-Dielectric) was removed by CMP and wet etching process using DHF(Diluted HF) in order to investigate the possibility of planrization by wet etching mechanism. In the thin film wafer, the results were evaluated from the viewpoint of material removal rate(MRR) and within wafer non-uniformity(WIWNU). And the pattern step heights were also compared for the purpose of planarity characterization of the patterned wafer. Moreover, Chemical polishing process which is the wet etching process with mechanical energy was introduced and evaluated for examining the characteristics of planarization.

A Study on tole Improvement of the Slurry Dispersibility in CMP (CMP 슬러리의 분산성 향상에 관한 연구)

  • Cho, Sung-Hwan;Kim, Hyoung-Jae;Kim, Ho-Youn;Kim, Heon-Deok;Seo, Kyoung-Jun;Jeong, Hae-Do
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.25 no.10
    • /
    • pp.1535-1540
    • /
    • 2001
  • This study presents the possibility of scratch reduction on wafer in CMP by applying the ultrasonic and megasonic energy into the slurry which might contain large abrasive particles. Experiments were conducted to verify the dispersion ability of agglomerated particles by applying ultrasonic, megasonic waves and analyze the particle distribution of used slurry in case, of sonic energy assisted or none. And the dispersion stability of megasonic waves was investigated through the experiment of stability of the dispersed slurry, Finally, to confirm that the distribution of particles in slurry by ultrasonic waves was actually related to scratches on wafer when CMP was done, tungsten blanket wafer was processed, by CMP to compare and investigate scratches on wafer.

Development of a Pad Conditioning Method for ILD CMP using a High Pressure Micro Jet System

  • Lee, Hyo-Sang;DeNardis, Darren;Philipossian, Ara;Seike, Yoshiyuki;Takaoka, Mineo;Miyachi, Keiji;Doi, Toshiro
    • Transactions on Electrical and Electronic Materials
    • /
    • v.8 no.1
    • /
    • pp.26-31
    • /
    • 2007
  • The goal of this study is to determine if High Pressure Micro Jet (HPMJ) conditioning can be used as a substitute for, or in conjunction with, conventional diamond pad conditioning. Five conditioning methods were studied during which 50 ILD wafers were polished successively in a 100-mm scaled polisher and removal rate (RR), coefficient of friction (COF), pad flattening ratio (PFR) and scanning electron microscopy (SEM) measurements were obtained. Results indicated that PFR increased rapidly, and COF and removal rate decreased significantly, when conditioning was not employed. With diamond conditioning, both removal rate and COF were stable from wafer to wafer, and low PFR values were observed. SEM images indicated that clean grooves could be achieved by HPMJ pad conditioning, suggesting that HPMJ may have the potential to reduce micro scratches and defects caused by slurry abrasive particle residues inside grooves. Regardless of different pad conditioning methods, a linear correlation was observed between temperature, COF and removal rate, while an inverse relationship was seen between COF and PFR.

Experimental and Numerical Analysis of A Novel Ceria Based Abrasive Slurry for Interlayer Dielectric Chemical Mechanical Planarization

  • Zhuanga, Yun;Borucki, Leonard;Philipossian, Ara;Dien, Eric;Ennahali, Mohamed;Michel, George;Laborie, Bernard;Zhuang, Yun;Keswani, Manish;Rosales-Yeomans, Daniel;Lee, Hyo-Sang;Philipossian, Ara
    • Transactions on Electrical and Electronic Materials
    • /
    • v.8 no.2
    • /
    • pp.53-57
    • /
    • 2007
  • In this study, a novel slurry containing ceria as the abrasive particles was analyzed in terms of its frictional, thermal and kinetic attributes for interlayer dielectric (ILD) CMP application. The novel slurry was used to polish 200-mm blanket ILD wafers on an $IC1000_{TM}$ K-groove pad with in-situ conditioning. Polishing pressures ranged from 1 to 5 PSI and the sliding velocity ranged from 0.5 to 1.5 m/s. Shear force and pad temperature were measured in real time during the polishing process. The frictional analysis indicated that boundary lubrication was the dominant tribological mechanism. The measured average pad leading edge temperature increased from 26.4 to $38.4\;^{\circ}C$ with the increase in polishing power. The ILD removal rate also increased with the polishing power, ranging from 400 to 4000 A/min. The ILD removal rate deviated from Prestonian behavior at the highest $p{\times}V$ polishing condition and exhibited a strong correlation with the measured average pad leading edge temperature. A modified two-step Langmuir-Hinshelwood kinetic model was used to simulate the ILD removal rate. In this model, transient flash heating temperature is assumed to dominate the chemical reaction temperature. The model successfully captured the variable removal rate behavior at the highest $p{\times}V$ polishing condition and indicates that the polishing process was mechanical limited in the low $p{\times}V$ polishing region and became chemically and mechanically balanced with increasing polishing power.

A Study on Frictional Characteristics and Polishing Result of SiO2 Slurry in CMP (CMP시 SiO2 슬러리의 마찰 특성과 연마결과에 관한 연구)

  • Lee Hyunseop;Park Boumyoung;Seo Heondeok;Jung Jaewoo;Jeong Sukhoon;Jeong Haedo
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.29 no.7 s.238
    • /
    • pp.983-989
    • /
    • 2005
  • The effects of mechanical parameters on the characteristics of chemical mechanical polishing(CMP) can be directly evaluated by friction force. The piezoelectric quartz sensor for friction force measurement was installed, and friction force could be detected during CMP process. Furthermore, friction energy can be calculated by multiplying relative velocity by integration of the friction force throughout the polishing time. $SiO_2$ slurry for interlayer dielectric(ILD) CMP was used in this experiment to consider the relation of frictional characteristics and polishing results. From this experiment, it is proven that the friction energy is an essential factor of removal rate. Also, the friction force is related to removal amount per unit length(dH/ds) and friction energy has corelation to the removal rate(dH/dt) and process temporature. Moreover, within wafer non-unifornity(WIWNU) is related to coefficient of friction because of the mechanical moment equilibrium. Therefore, the prediction of polishing result would be possible by measuring friction force.

Study on the Abrasive Capsulation Pad in Interlayer Dielectric Chemical Mechanical Polishing (층간절연막 화학기계연마에서 입자코팅패드에 관한 연구)

  • Kim, Ho-Yun;Park, Jae-Hong;Jeong, Hae-Do;Seo, Hyeon-Deok;Nam, Cheol-U;Lee, Sang-Ik
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.18 no.11
    • /
    • pp.168-173
    • /
    • 2001
  • The chemical mechanical polishing (CMP) is generally consisted of pad, slurry including abrasives and so on. However, there are some problems in a general CMP: defects, a high Cost of Consumable (CoC), an environmental problem. The slurry including abrasives especially gives rise to not only increase a CoC, but also prohibition from achieving an eco-process. This paper introduces an abrasive capsulation pad to achieve an eco-process decreasing abrasives used is CMP. The binder wth a water a water swelling and a water soluble characteristic is used for an auto-conditioning, and the $CeO_2$abrasive is selected for an abrasive capsulation pad. Comparing with a conventional CMP, an abrasive capsulation pad appears good characteristics in ILD CMP and is able to achieve an eco-process decreasing wasted slurry.

  • PDF

Consumable Approaches of Polysilicon MEMS CMP

  • Park, Sung-Min;Jeong, Suk-Hoon;Jeong, Moon-Ki;Park, Boum-Young;Jeong, Hae-Do;Kim, Hyoung-Jae
    • Transactions on Electrical and Electronic Materials
    • /
    • v.7 no.4
    • /
    • pp.157-162
    • /
    • 2006
  • Chemical-mechanical polishing (CMP), one of the dominant technology for ULSI planarization, is used to flatten the micro electro-mechanical systems (MEMS) structures. The objective of this paper is to achieve good planarization of the deposited film and to improve deposition efficiency of subsequent layer structures by using surface-micromachining process in MEMS technology. Planarization characteristic of poly-Si film deposited on thin oxide layer with MEMS structures is evaluated with different slurries. Patterns used for this research have shapes of square, density, line, hole, pillar, and micro engine part. Advantages of CMP process for MEMS structures are observed respectively by using the test patterns with structures larger than 1 urn line width. Preliminary tests for material selectivity of poly-Si and oxide are conducted with two types of silica slurries: $ILD1300^{TM}\;and\;Nalco2371^{TM}$. And then, the experiments were conducted based on the pretest. A selectivity and pH adjustment of slurry affected largely step heights of MEMS structures. These results would be anticipated as an important bridge stone to manufacture MEMS CMP slurry.