• 제목/요약/키워드: Delay Scan

검색결과 121건 처리시간 0.025초

순차 회로의 지연 고장 검출을 위한 새로운 스캔 설계 (New Scan Design for Delay Fault Testing of Sequential Circuits)

  • 허경회;강용석;강성호
    • 대한전기학회논문지:전력기술부문A
    • /
    • 제48권9호
    • /
    • pp.1161-1166
    • /
    • 1999
  • Delay testing has become highlighted in the field of digital circuits as the speed and the density of the circuits improve greatly. However, delay faults in sequential circuits cannot be detected easily due to the existence of state registers. To overcome this difficulty a new scan filp-flop is devised which can be used for both stuck-at testing and delay testing. In addition, the new scan flip-flop can be applied to both the existing functional justification method and the newly-developed reverse functional justification method which uses scan flip-flops as storing the second test patterns rather than the first test patterns. Experimental results on ISCAS 89 benchmark circuits show that the number of testable paths can be increased by about 10% on the average.

  • PDF

이중시간 PET/CT 검사에서 췌장암 판별을 위한 최적의 Scan time 분석 (Optimal Scan time Analysis for Pancreatic Cancer Distinction in Dual time PET-CT Exam)

  • 장보석
    • 한국방사선학회논문지
    • /
    • 제13권2호
    • /
    • pp.305-311
    • /
    • 2019
  • 본 연구에서는 이중 시간 PET/CT 검사에서 지연검사 시간이 다른 두 그룹의 40명의 췌장암 환자에 대한 SUV 데이터의 통계 분석을 통해 최적의 지연검사 시간을 제시하였다. 췌장암의 유무를 확인해야 될 이중 시간 PET/CT 검사에서 새로운 수학적 예측 모델을 만들어 정확도 높은 최적의 지연검사 시간을 제시하였다. 시간에 따른 포도당 대사를 적용한 방사능 붕괴식을 예측 모델로 제시하였다. 지연검사 시간이 다른 두 그룹의 데이터를 가지고 시뮬레이션한 결과 PET/CT에서 췌장암 판별을 위한 최적의 지연검사 시간이 131.5분으로 제시하였다. 제안된 지연검사 시간은 췌장암 결정에 적용될 수 있는 통계적 유의 수준을 보였다(p<0.05). 제안된 지연 검사 시간 131.5분을 결정하여 검사를 진행할 때, 췌장암 조기 판별에 도움을 줄 수 있을 것으로 사료된다.

경계면 스캔 기저 구조를 위한 지연시험 (Delay Test for Boundary-Scan based Architectures)

  • 강병욱;안광선
    • 전자공학회논문지A
    • /
    • 제31A권6호
    • /
    • pp.199-208
    • /
    • 1994
  • This paper proposes a delay fault test technique for ICs and PCBs with the boundary-scan architectures supporting ANSI/IEEE Std 1149.1-1990. The hybrid delay fault model, which comprises both of gate delay faults and path delay faults, is selected. We developed a procedure for testing delay faults in the circuits with typical boundary scan cells supporting the standard. Analyzing it,we concluded that it is impractical because the test clock must be 2.5 times faster than the system clock with the cell architect-ures following up the state transition of the TAP controller and test instruction set. We modified the boundary-scan cell and developed test instructions and the test procedure. The modified cell and the procedure need test clock two times slower than the system clock and support the ANSI/IEEE standard perfectly. A 4-bit ALU is selected for the circuits under test. and delay tests are simulated by the SILOS simulator. The simulation results ascertain the accurate operation and effectiveeness of the modified mechanism.

  • PDF

Efficient Path Delay Testing Using Scan Justification

  • Huh, Kyung-Hoi;Kang, Yong-Seok;Kang, Sung-Ho
    • ETRI Journal
    • /
    • 제25권3호
    • /
    • pp.187-194
    • /
    • 2003
  • Delay testing has become an area of focus in the field of digital circuits as the speed and density of circuits have greatly improved. This paper proposes a new scan flip-flop and test algorithm to overcome some of the problems in delay testing. In the proposed test algorithm, the second test pattern is generated by scan justification, and the first test pattern is processed by functional justification. In the conventional functional justification, it is hard to generate the proper second test pattern because it uses a combinational circuit for the pattern. The proposed scan justification has the advantage of easily generating the second test pattern by direct justification from the scan. To implement our scheme, we devised a new scan in which the slave latch is bypassed by an additional latch to allow the slave to hold its state while a new pattern is scanned in. Experimental results on ISCAS'89 benchmark circuits show that the number of testable paths can be increased by about 45 % over the conventional functional justification.

  • PDF

마이크로파이프라인 회로를 위한 지연 고장 테스트 (Path Delay Testing for Micropipeline Circuits)

  • 강용석;허경회;강성호
    • 대한전자공학회논문지SD
    • /
    • 제38권8호
    • /
    • pp.72-84
    • /
    • 2001
  • 마이크로파이프라인 회로의 모든 연산 소자의 타이밍은 아주 중요하다. 스캔 플립플롭을 이용한 경로 지연고장 테스팅에 관한 기존 연구들은 두 개의 테스트 패턴 중 두 번째 패턴의 조절용이도가 높아야 한다는 점을 간과하였다. 본 논문에서는 작은 면적 오버헤드로 마이크로파이프라인 회로의 경로 지연고장을 테스트 할 수 있는 새로운 스캔 래치 및 테스트 방법을 제안하였다. 새로운 스캔 래치를 사용하여 마이크로파이프라인의 경로지연고장을 테스트한 결과에서 기존연구에 비해 높은 경성 경로 지연고장 검출율을 얻었다. 또한 제안된 스캔 래치는 마이크로파이프라인의 고착고장 검출을 위한 BIST로 응용을 확대하기 쉽다.

  • PDF

지연고장 검출을 위한 LOS/LOC 스캔 테스트 기술 (LOS/LOC Scan Test Techniques for Detection of Delay Faults)

  • 허용민;최영철
    • 한국인터넷방송통신학회논문지
    • /
    • 제14권4호
    • /
    • pp.219-225
    • /
    • 2014
  • 본 논문에서는 디지털 논리회로의 스캔(scan) 방식에 기초한 효율적인 테스터블(testable) 스캔 셀(cell)을 제안하며 타이밍과 관련된 지연고장(delay fault)을 검출하기 위한 Mux-based 스캔 셀 설계와 테스트방식을 제안한다. 이로 인해 설계와 검증 시 소요되는 테스트 시간과 비용을 단축하고, LOC(Launch-off-Capture)와 LOS(Launch-off-Shift)방식의 지연고장 테스트 방안도 제안한다. 제안된 테스트방식은 스캔 입력에서 거리가 먼 마지막 스캔 셀까지의 전역 제어신호(global control signal)가 늦게 도달하는 문제점을 클럭(clock) 신호를 이용하여 동기화시킴으로써 보다 빠르게 구동시켜 고속의 테스트가 가능하다. 또한, 테스트 벡터 입력 시 대상회로의 논리 값 인가를 차단하여 테스트 벡터 입력동안의 스캔 전력소모를 효과적으로 줄이도록 한다. 스캔 셀 설계의 논리 동작과 타이밍 시뮬레이션을 통해 제안된 방식의 동작을 증명 한다.

경로 지연 고장 테스팅을 위한 부분 확장 주사방법 (Partial Enhanced Scan Method for Path Delay Fault Testing)

  • 김원기;김명균;강성호;한건희
    • 한국정보처리학회논문지
    • /
    • 제7권10호
    • /
    • pp.3226-3235
    • /
    • 2000
  • 반도체 집적 회로가 점점 복잡해지고 고속화되면서 반도체 집적 회로의 동작에 대한 검사 뿐 아니라, 회로가 원하는 시간 내에 동작함을 보장하는 지연 고장 검사의 중요성이 점점 커지고 있다. 본 논문에서는 경로 지연 고장에 대한 효율적인 테스트 입력 생성을 위하여 새로운 부분 확장 주사 방법을 제안한다. 본 논문에서는 유추와 할당을 적용한 테스트 입력 자동 생성기를 기반으로 하여 새로운 부분 주사 방법을 구현하였다. 우선적으로 표준 주사환경에서 테스트 입력을 생성한 후에 테스트 입력이 제대로 생성되지 않은 주사 사슬에 대하여 테스트 입력 생성기를 수행하는 동안의 정보를 이용하여 확장 주사 플립플롭이 적용될 플립플롭을 결정하였다. 확장 주사 플립플롭을 결정하는 기준으로서는 고장 검출율과 하드웨어 오버헤드를 사용하였다. 순차 회로인 ISCAS 89 벤치 마크 회로를 이용하여 실험을 수행하였으며, 실험을 통하여 표준 주사와 확장 주사 환경, 부분 확장 주사 환경에서 고장 검출율을 비교, 확인하였다. 그리고 새로운 알고리즘이 적용된 부분 확장 주사 방법에서 높은 고장 검출율을 확인함으로써 효율성을 입증하였다.

  • PDF

고집적 회로에 대한 고속 경로지연 고장 시뮬레이터 (A High Speed Path Delay Fault Simulator for VLSI)

  • 임용태;강용석;강성호
    • 한국정보처리학회논문지
    • /
    • 제4권1호
    • /
    • pp.298-310
    • /
    • 1997
  • 스캔 환경에 바탕을 둔 대부분의 경로 지연고장 시뮬레이터들은 개선된 스캔 플 립플롭을 사용하며 일반적인 논리 게이트를 대상으로만 동작한다. 본 연구에서는 새 로운 논리값을 사용한 새로운 경로 지연고장 시뮬레이션 알고리즘을 고안하여 이의 적용범위를 CMOS 소자를 포함하는 대규모 집적회로로 확장하였다. 제안된 알고리즘에 기초하여 표준 스캔 환경 하에서 동작하는 고속 지연고장 시뮬레이터를 개발하였다. 실험결과는 새 시뮬레이터가 효율적이며 정확함을 보여준다.

  • PDF

부분 스캔을 고려한 최적화된 상태 할당 기술 개발 (Development of Optimimized State Assignment Technique for Partial Scan Designs)

  • 조상욱;양세양;박성주
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 1999년도 추계종합학술대회 논문집
    • /
    • pp.392-395
    • /
    • 1999
  • The state assignment for a finite state machine greatly affects the delay, area, and testabilities of the sequential circuits. In order to minimize the dependencies among state variables, therefore possibly to reduce the length and number of feedback cycles, a new state assignment technique based on m-block partition is introduced in this paper. After the completion of state assignment and logic synthesis, partial scan design is performed to choose minimal number of scan flip-flops. Experiment shows drastic improvement in testabilities while preserving low area and delay overhead.

  • PDF

카운터 회로에 대한 지연결함 검출구조의 개발 (Development of Delay Test Architecture for Counter)

  • 이창희;장영식
    • 한국컴퓨터정보학회논문지
    • /
    • 제4권1호
    • /
    • pp.28-37
    • /
    • 1999
  • 본 논문에서는 클록 입력을 갖는 대표적인 회로인 5비트 카운터를 대상회로로 선정하여 경계면 스캔 구조를 적용하고, 대상회로에 대한 지연시험을 위한 새로운 시험 구조와 지연시험 절차를 개발하였다. 지연시험 대상회로가 클록 입력을 갖는 경우, 기존의 경계면 스캔 구조에서는 동일한 패턴의 중복 입력, 클록 입력과 데이터 입력과의 시간 간격과, 패턴 입력과 응답값 캡쳐까지의 시간 문제에 의해 적절치 않음을 보였다. 본 논문에서 제안하는 지연 시험 구조는 클록 계수 발생기를 사용하여 연속 발생시킬 클록의 개수를 입력받아 이를 대상회로의 클록 입력에 적용하여 대상회로에 대한 입력 패턴의 중복문제를 해결하였다. 또한 시스템 클록을 TCK로 사용하여 대상회로를 정상 속도에서 동작할 수 있도록 하였다. 연속적인 클록 발생에 TCK를 사용함으로써 대상회로를 정상 속도에서 검증할 수 있다. 제안된 시험 구조와 절차는 대상회로에 대한 타이밍 시뮬레이션을 통해 동작의 정확성을 확인하였다.

  • PDF