• Title/Summary/Keyword: Delay Scan

Search Result 121, Processing Time 0.023 seconds

New Scan Design for Delay Fault Testing of Sequential Circuits (순차 회로의 지연 고장 검출을 위한 새로운 스캔 설계)

  • 허경회;강용석;강성호
    • The Transactions of the Korean Institute of Electrical Engineers A
    • /
    • v.48 no.9
    • /
    • pp.1161-1166
    • /
    • 1999
  • Delay testing has become highlighted in the field of digital circuits as the speed and the density of the circuits improve greatly. However, delay faults in sequential circuits cannot be detected easily due to the existence of state registers. To overcome this difficulty a new scan filp-flop is devised which can be used for both stuck-at testing and delay testing. In addition, the new scan flip-flop can be applied to both the existing functional justification method and the newly-developed reverse functional justification method which uses scan flip-flops as storing the second test patterns rather than the first test patterns. Experimental results on ISCAS 89 benchmark circuits show that the number of testable paths can be increased by about 10% on the average.

  • PDF

Optimal Scan time Analysis for Pancreatic Cancer Distinction in Dual time PET-CT Exam (이중시간 PET/CT 검사에서 췌장암 판별을 위한 최적의 Scan time 분석)

  • Chang, Boseok
    • Journal of the Korean Society of Radiology
    • /
    • v.13 no.2
    • /
    • pp.305-311
    • /
    • 2019
  • In this study, present the most useful delay scan time by statistical analysis of SUVm data for 30 suspected pancreatic cancer patients. Two statistical analysis and a mathematical model was applied to the theoretical formula by glucose and insulin mechanics, and a mathematical model was created. Statistical analysis was performed via Metlab p/g. Optimal delay scan time was suggested by Metlab p/g for the change of SUV value over time.In this study, for diagnosis pancreatic cancer by dual time point PET/CT, propose optimal delay scan time 131.5 minuts. The proposed delay scan time showed statistical reliability applicable to the diagnosis of pancreatic cancer (p<0.05). Delayed scanning with the suggested delay scan time of 131.5 minutes is considered to be useful for the diagnosis of pancreatic cancer compared to general PET / CT scan.hen the delayed test is performed with the proposed delay scan time 131.5 minuts, Compared with general PET/CT scans.

Delay Test for Boundary-Scan based Architectures (경계면 스캔 기저 구조를 위한 지연시험)

  • 강병욱;안광선
    • Journal of the Korean Institute of Telematics and Electronics A
    • /
    • v.31A no.6
    • /
    • pp.199-208
    • /
    • 1994
  • This paper proposes a delay fault test technique for ICs and PCBs with the boundary-scan architectures supporting ANSI/IEEE Std 1149.1-1990. The hybrid delay fault model, which comprises both of gate delay faults and path delay faults, is selected. We developed a procedure for testing delay faults in the circuits with typical boundary scan cells supporting the standard. Analyzing it,we concluded that it is impractical because the test clock must be 2.5 times faster than the system clock with the cell architect-ures following up the state transition of the TAP controller and test instruction set. We modified the boundary-scan cell and developed test instructions and the test procedure. The modified cell and the procedure need test clock two times slower than the system clock and support the ANSI/IEEE standard perfectly. A 4-bit ALU is selected for the circuits under test. and delay tests are simulated by the SILOS simulator. The simulation results ascertain the accurate operation and effectiveeness of the modified mechanism.

  • PDF

Efficient Path Delay Testing Using Scan Justification

  • Huh, Kyung-Hoi;Kang, Yong-Seok;Kang, Sung-Ho
    • ETRI Journal
    • /
    • v.25 no.3
    • /
    • pp.187-194
    • /
    • 2003
  • Delay testing has become an area of focus in the field of digital circuits as the speed and density of circuits have greatly improved. This paper proposes a new scan flip-flop and test algorithm to overcome some of the problems in delay testing. In the proposed test algorithm, the second test pattern is generated by scan justification, and the first test pattern is processed by functional justification. In the conventional functional justification, it is hard to generate the proper second test pattern because it uses a combinational circuit for the pattern. The proposed scan justification has the advantage of easily generating the second test pattern by direct justification from the scan. To implement our scheme, we devised a new scan in which the slave latch is bypassed by an additional latch to allow the slave to hold its state while a new pattern is scanned in. Experimental results on ISCAS'89 benchmark circuits show that the number of testable paths can be increased by about 45 % over the conventional functional justification.

  • PDF

Path Delay Testing for Micropipeline Circuits (마이크로파이프라인 회로를 위한 지연 고장 테스트)

  • Kang, Yong-Seok;Huh, Kyung-Hoi;Kang, Sung-Ho
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.38 no.8
    • /
    • pp.72-84
    • /
    • 2001
  • The timings of all computational elements in the micropipeline circuits are important. The previous researches on path delay testing using scan methods make little account of the characteristic of the path delay tests that the second test pattern must be more controllable. In this paper, a new scan latch is proposed which is suitable to path delay testing of the micropipelines and has small area overhead. Results show that path delay faults in the micropipeline circuits using the new scan are testable robustly and the fault coverage is higher than the previous researches. In addition, the new scan latch for path delay faults testing in the micropipeline circuits can be easily expanded to the applications such as BIST for stuck-at faults.

  • PDF

LOS/LOC Scan Test Techniques for Detection of Delay Faults (지연고장 검출을 위한 LOS/LOC 스캔 테스트 기술)

  • Hur, Yongmin;Choe, Youngcheol
    • The Journal of the Institute of Internet, Broadcasting and Communication
    • /
    • v.14 no.4
    • /
    • pp.219-225
    • /
    • 2014
  • The New efficient Mux-based scan latch cell design and scan test of LOS/LOC modes are proposed for detection of delay faults in digital logic circuits. The proposed scan cell design can support LOS(Launch-off-Shift) and LOC(Launch-off-Capture) tests with high fault coverage and low scan power and it can alleviate the problem of the slow selector enable signal and hold signal by supporting the logic capable of switching at the operational clock speeds. Also, it efficiently controls the power dissipation of the scan cell design during scan testing. Functional operation and timing simulation waveform for proposed scan hold cell design shows improvement in at-speed test timing in both test modes.

Partial Enhanced Scan Method for Path Delay Fault Testing (경로 지연 고장 테스팅을 위한 부분 확장 주사방법)

  • Kim, Won-Gi;Kim, Myung-Gyun;Kang, Sung-Ho;Han, Gun-Hee
    • The Transactions of the Korea Information Processing Society
    • /
    • v.7 no.10
    • /
    • pp.3226-3235
    • /
    • 2000
  • The more complex and larger semiconductor integraed circuits become, the core important delay test becomes which guarantees that semiconductor integrated circuits operate in time. In this paper, we propose a new partial enhanced scan method that can generate test patterns for path delay faults offectively. We implemented a new partial enhanced scan method based on an automatic test pattern generator(ATPG) which uses implication and justification . First. we generate test patterns in the standard scan environment. And if test patterns are not generated regularly in the scan chain, we determine flip-flops which applied enhanced scan flip-flops using the information derived for running an automatic test pattern generator inthe circuti. Determming enhanced scan flip-flops are based on a fault coverage or a hardware overhead. through the expenment for JSCAS 89 benchmark sequential circuits, we compared the fault coverage in the standard scan enviroment and enhance scan environment, partial enhanced scan environment. And we proved the effectiveness of the new partial enhanced scan method by identifying a high fault coverage.

  • PDF

A High Speed Path Delay Fault Simulator for VLSI (고집적 회로에 대한 고속 경로지연 고장 시뮬레이터)

  • Im, Yong-Tae;Gang, Yong-Seok;Gang, Seong-Ho
    • The Transactions of the Korea Information Processing Society
    • /
    • v.4 no.1
    • /
    • pp.298-310
    • /
    • 1997
  • Most of the available delay fault simulators for scan environments rely on the use of enhanced scan flip-flops and exclusively consider circuits composed of only discrete gates. In this research, a new path delay fault simulation algorithm using new logic values is devised to enlarge the scope to the VLSI circuits which consist of CMOS elements. Based on the proposed algorithm, a high speed path delay fault simulator for standard scan environments is developed. The experimental results show the new simulator is efficient and accurate.

  • PDF

Development of Optimimized State Assignment Technique for Partial Scan Designs (부분 스캔을 고려한 최적화된 상태 할당 기술 개발)

  • 조상욱;양세양;박성주
    • Proceedings of the IEEK Conference
    • /
    • 1999.11a
    • /
    • pp.392-395
    • /
    • 1999
  • The state assignment for a finite state machine greatly affects the delay, area, and testabilities of the sequential circuits. In order to minimize the dependencies among state variables, therefore possibly to reduce the length and number of feedback cycles, a new state assignment technique based on m-block partition is introduced in this paper. After the completion of state assignment and logic synthesis, partial scan design is performed to choose minimal number of scan flip-flops. Experiment shows drastic improvement in testabilities while preserving low area and delay overhead.

  • PDF

Development of Delay Test Architecture for Counter (카운터 회로에 대한 지연결함 검출구조의 개발)

  • 이창희;장영식
    • Journal of the Korea Society of Computer and Information
    • /
    • v.4 no.1
    • /
    • pp.28-37
    • /
    • 1999
  • In this paper. we developed a delay test architecture and test procedure for clocked 5-bit asynchronous counter circuit based on boundary scan architecture. To develope, we analyze the problems of conventional method on delay test for clocked sequential circuit in boundary scan architecture. This paper discusses several problems of delay test on boundary scan architecture for clocked sequential circuit. Conventional test method has some problems of improper capture timing, of same pattern insertion, of increase of test time. We suggest a delay test architecture and test procedure, is based on a clock count-generation technique to generate continuous clocks for clocked input of CUT. The simulation results or 5-bit counter shows the accurate operation and effectiveness of the proposed delay test architecture and procedure.

  • PDF