• 제목/요약/키워드: Cu diffusion barrier

검색결과 142건 처리시간 0.026초

The Properties of Nitrogen Implanted Tungsten Diffusion Barrier for Cu Metallization

  • Kim, D.J.;Kim, D.J.;Kim, Y.T.;Lee, J.Y.
    • Journal of the Korean Vacuum Society
    • /
    • 제4권S2호
    • /
    • pp.79-82
    • /
    • 1995
  • $N^+$ beam modified diffusion barriers have been proposed for Cu metallization . The crystalline phases of W and Ti thin films change from polycrytalline to amorphous phase by the N ion implantation of 1~$3\times 10^{17}$atoms/$\textrm{cm}^2$. The comparison between these amorphized diffusion barriers and the conventional W and TiN films shows that the amorphized W and Ti diffusion barriers are superior to the conventional w and TiN for protecting the Cu diffusion barriers are superior to the conventional W and TiN for protecting the Cu diffusion at the annealing temperature range $600^{\circ}C$~$800^{\circ}C$ for 30min. This is a worldwidely new and excellent result on the high temperature thermal stability of diffusion barrier.

  • PDF

Characteristics of W-C-N Thin Diffusion Barrier for Cu Interconnection (Cu 금속배선을 위한 카본-질소-텅스텐 확산방지막 특성)

  • Lee, Chang-Woo
    • Journal of the Microelectronics and Packaging Society
    • /
    • 제12권4호통권37호
    • /
    • pp.345-349
    • /
    • 2005
  • Low resistive ($300{\mu}{\Omega}$-cm) W-C-N films have been deposited on tetraethylorthosilicate (TEOS) interlayer dielectric by atomic layer deposition (ALD) with $WF_6-N_2-CH_4$ gas. The exposure cycles of $N_2$ and $CH_4$ are synchronized with pulse plasma. The W-C-N films on TEOS layer follow the ALD mechanism and keep constant deposition rate of 0.2 nm/cycle from 10 to 100 cycles. As a diffusion barrier for Cu interconnection the W-C-N films maintain amorphous phase and Cu inter-diffusion is not occurred even at $800^{\circ}C$ for 30 min.

  • PDF

XPS를 이용한 Cu/Polyimide와 Cu/TiN 계에 대한 연구

  • 이연승
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 한국진공학회 2000년도 제18회 학술발표회 논문개요집
    • /
    • pp.169-169
    • /
    • 2000
  • 최근 반도체 소자의 초고집적화 현상에 따라 기존의 Al-base 합금에 대한 한계에 달하면서 그에 대한 대체 물질로 Cu가 관심을 모으게 되었고 그럼으로써 Cu metallization을 위한 많은 연구가 진행되어 왔다. Cu는 Al-base 합금계보다 비저항이 낮고, 녹는점이 높으며, 또한 electromigration 특성이 뛰어난 것으로 알려져 있다. 공학적인 면에서 이미 이들 계에 대한 adhesion 및 전기적 특성에 대한 많은 연구가 있어왔지만, 이들 특성 변화에 대한 물리적 의미를 제공할 만한 기초 자료들이 부족한 상태이다. 본 연구에서는 부도체인 polyimide 박막과 diffusion barrier인 TiN 박막위에서의 Cu 박막성장에 따르는 interface chemical reaction의 변화를 XPS를 이용하여 관찰함으로서 이들 계에 있어서의 adhesion과의 관계를 조사하였다. 그리고 XPS를 이용한 modified surface accumulation method를 적용시켜 TiN diffusion barrier를 통한 Cu의 grain boundary diffusion 상수들을 측정하였다. Cu/TiN system의 경우에는 interface chemical reaction이 일어나지 않았지만 Cu/polymide system에 있어서는 boundary diffusivity는 특히 40$0^{\circ}C$에서 $650^{\circ}C$ 영역에서, Db=60$\times$10-11exp[-0.29/(kBT)]cm2/sec 이었다.

  • PDF

Analysis of Lattice constants change for study of W-C-N Diffusion (W-C-N 확산방지막의 격자상수 변화 분석을 통한 특성 연구)

  • Kim, Soo-In;Lee, Chang-Woo
    • Journal of the Korean Vacuum Society
    • /
    • 제17권2호
    • /
    • pp.109-112
    • /
    • 2008
  • The miniaturization of device size and submicron process causes serious problems in conventional metallization due to the solubility of silicon and metal at the interface, such as an increasing contact resistance in the contact hole and interdiffusion between metal and silicon. Moreover, the interaction between Cu and Si is so strong and detrimental to the electrical performance of Si even at temperatures below $200^{\circ}C$. Therefore it is necessary to implement a barrier layer between Cu and Si. So we study W-C-N diffusion barrier for prevent Cu diffusion as a function of $N_2$ gas flow and thermal stability. Especially, we also study the W-C-N diffusion barrier for analyzing the change of lattice constants.

Characteristics of TaN by Atomic Layer Deposition as a Copper Diffusion Barrier (ALD법을 이용해 증착된 TaN 박막의 Cu 확산방지 특성)

  • Na, Kyoung-Il;Hur, Won-Nyung;Boo, Sung-Eun;Lee, Jung-Hee
    • Journal of Sensor Science and Technology
    • /
    • 제13권3호
    • /
    • pp.195-198
    • /
    • 2004
  • For a diffusion barrier against copper, tantalum nitride films have been deposited on $SiO_{2}$ by atomic layer deposition (ALD), using PEMAT(Pentakis(ethylmethylamino)tantalum) and $NH_{3}$ as precursors, Ar as purging gas. The deposition rate of TaN at substrate temperature $250^{\circ}C$ was about $0.67{\AA}$ per one cycle. The stability of TaN films as a Cu diffsion barrier was tested by thermal annealing for 30 minutes in $N_{2}$ ambient and characterized through XRD, sheet resistance, and C-V measurement(Cu($1000{\AA}$)/TaN($50{\AA}$)/$SiO_{2}$($2000{\AA}$)/Si capacitor fabricated), which prove the TaN film maintains the barrier properties Cu below $400^{\circ}C$.

Interdiffusion in Cu/Capping Layer/NiSi Contacts (Cu/Capping Layer/NiSi 접촉의 상호확산)

  • You, Jung-Joo;Bae, Kyoo-Sik
    • Korean Journal of Materials Research
    • /
    • 제17권9호
    • /
    • pp.463-468
    • /
    • 2007
  • The interdiffusion characteristics of Cu-plug/Capping Layer/NiSi contacts were investigated. Capping layers were deposited on Ni/Si to form thermally-stable NiSi and then were utilized as diffusion barriers between Cu/NiSi contacts. Four different capping layers such as Ti, Ta, TiN, and TaN with varying thickness from 20 to 100 nm were employed. When Cu/NiSi contacts without barrier layers were furnace-annealed at $400^{\circ}C$ for 40 min., Cu diffused to the NiSi layer and formed $Cu_3Si$, and thus the NiSi layer was dissociated. But for Cu/Capping Layers/NiSi, the Cu diffusion was completely suppressed for all cases. But Ni was found to diffuse into the Cu layer to form the Cu-Ni(30at.%) solid solution, regardless of material and thickness of capping layers. The source of Ni was attributed to the unreacted Ni after the silicidation heat-treatment, and the excess Ni generated by the transformation of $Ni_2Si$ to NiSi during long furnace-annealing.

The Effects of the Annealing on the Reflow Property of Cu Thin Film (열처리에 따른 구리박막의 리플로우 특성)

  • Kim Dong-Won;Kim Sang-Ho
    • Journal of the Korean institute of surface engineering
    • /
    • 제38권1호
    • /
    • pp.28-36
    • /
    • 2005
  • In this study, the reflow characteristics of copper thin films which is expected to be used as interconnection materials in the next generation semiconductor devices were investigated. Cu thin films were deposited on the TaN diffusion barrier by metal organic chemical vapor deposition (MOCVD) and annealed at the temperature between 250℃ and 550℃ in various ambient gases. When the Cu thin films were annealed in the hydrogen ambience compared with oxygen ambience, sheet resistance of Cu thin films decreased and the breakdown of TaN diffusion barrier was not occurred and a stable Cu/TaN/Si structure was formed at the annealing temperature of 450℃. In addition, reflow properties of Cu thin films could be enhanced in H₂ ambient. With Cu reflow process, we could fill the trench patterns of 0.16~0.24 11m with aspect ratio of 4.17~6.25 at the annealing temperature of 450℃ in hydrogen ambience. It is expected that Cu reflow process will be applied to fill the deep pattern with ultra fine structure in metallization.

Thermal Stability of Ti-Si-N as a Diffusion Barrier (Cu와 Si간의 확산방지막으로서의 Ti-Si-N에 관한 연구)

  • O, Jun-Hwan;Lee, Jong-Mu
    • Korean Journal of Materials Research
    • /
    • 제11권3호
    • /
    • pp.215-220
    • /
    • 2001
  • Amorphous Ti-Si-N films of approximately 200 and 650 thickness were reactively sputtered on Si wafers using a dc magnetron sputtering system at various $N_2$/Ar flow ratios. Their barrier properties between Cu (750 ) and Si were investigated by using sheet resistance measurements, XRD, SEM, RBS, and AES depth profiling focused on the effect of the nitrogen content in Ti-Si-N thin film on the Ti-Si-N barrier properties. As the nitrogen content increases, first the failure temperature tends to increase up to 46 % and then decrease. Barrier failure seems to occur by the diffusion of Cu into the Si substrate to form Cu$_3$Si, since no other X- ray diffraction intensity peak (for example, that for titanium silicide) than Cu and Cu$_3$Si Peaks appears up to 80$0^{\circ}C$. The optimal composition of Ti-Si-N in this study is $Ti_{29}$Si$_{25}$N$_{46}$. The failure temperatures of the $Ti_{29}$Si$_{25}$N$_{465}$ barrier layers 200 and 650 thick are 650 and $700^{\circ}C$, respectively.ely.

  • PDF

The characterization of a barrier against Cu diffusion by C-V measurement (C-V 측정에 의한 Cu 확산방지막 특성 평가)

  • 이승윤;라사균;이원준;김동원;박종욱
    • Journal of the Korean Vacuum Society
    • /
    • 제5권4호
    • /
    • pp.333-340
    • /
    • 1996
  • The properties of TiN as a barrier against Cu diffusion ere studied by sheet resistance measurement, X-ray diffraction, scanning electron microscopy, Auger electron spectroscopy, and capacitance-voltage(C-V) measurement. The sensitivities of the various methods were compared. Specimens with Cu/TiN/Ti/SiO2/Si structure were prepared by various deposition techniques and annealed at various temperatures ranging from $500^{\circ}C$ to $800^{\circ}C$ in 10%H2/90%Ar ambient for hours. As the effectiveness of the barrier property of TiN against Cu diffusion was vanished, the irregular-shaped sports were observed and outdiffused Si were detected on the surface of the Cu thin film. The C-V characteristics of the MOS capacitors varied drastically with annealing temperatures. In C-V measurement, the inversion capacitance decreased at annealing temperature range from $500^{\circ}C$ to $700^{\circ}C$ and increased remarkably at $800^{\circ}C$. These variations may be due to the Cu diffusion through TiN into $SiO_2$ and Si.

  • PDF