• Title/Summary/Keyword: Cu diffusion barrier

Search Result 142, Processing Time 0.024 seconds

A bilayer diffusion barrier of atomic layer deposited (ALD)-Ru/ALD-TaCN for direct plating of Cu

  • Kim, Soo-Hyun;Yim, Sung-Soo;Lee, Do-Joong;Kim, Ki-Su;Kim, Hyun-Mi;Kim, Ki-Bum;Sohn, Hyun-Chul
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.239-240
    • /
    • 2008
  • As semiconductor devices are scaled down for better performance and more functionality, the Cu-based interconnects suffer from the increase of the resistivity of the Cu wires. The resistivity increase, which is attributed to the electron scattering from grain boundaries and interfaces, needs to be addressed in order to further scale down semiconductor devices [1]. The increase in the resistivity of the interconnect can be alleviated by increasing the grain size of electroplating (EP)-Cu or by modifying the Cu surface [1]. Another possible solution is to maximize the portion of the EP-Cu volume in the vias or damascene structures with the conformal diffusion barrier and seed layer by optimizing their deposition processes during Cu interconnect fabrication, which are currently ionized physical vapor deposition (IPVD)-based Ta/TaN bilayer and IPVD-Cu, respectively. The use of in-situ etching, during IPVD of the barrier or the seed layer, has been effective in enlarging the trench volume where the Cu is filled, resulting in improved reliability and performance of the Cu-based interconnect. However, the application of IPVD technology is expected to be limited eventually because of poor sidewall step coverage and the narrow top part of the damascene structures. Recently, Ru has been suggested as a diffusion barrier that is compatible with the direct plating of Cu [2-3]. A single-layer diffusion barrier for the direct plating of Cu is desirable to optimize the resistance of the Cu interconnects because it eliminates the Cu-seed layer. However, previous studies have shown that the Ru by itself is not a suitable diffusion barrier for Cu metallization [4-6]. Thus, the diffusion barrier performance of the Ru film should be improved in order for it to be successfully incorporated as a seed layer/barrier layer for the direct plating of Cu. The improvement of its barrier performance, by modifying the Ru microstructure from columnar to amorphous (by incorporating the N into Ru during PVD), has been previously reported [7]. Another approach for improving the barrier performance of the Ru film is to use Ru as a just seed layer and combine it with superior materials to function as a diffusion barrier against the Cu. A RulTaN bilayer prepared by PVD has recently been suggested as a seed layer/diffusion barrier for Cu. This bilayer was stable between the Cu and Si after annealing at $700^{\circ}C$ for I min [8]. Although these reports dealt with the possible applications of Ru for Cu metallization, cases where the Ru film was prepared by atomic layer deposition (ALD) have not been identified. These are important because of ALD's excellent conformality. In this study, a bilayer diffusion barrier of Ru/TaCN prepared by ALD was investigated. As the addition of the third element into the transition metal nitride disrupts the crystal lattice and leads to the formation of a stable ternary amorphous material, as indicated by Nicolet [9], ALD-TaCN is expected to improve the diffusion barrier performance of the ALD-Ru against Cu. Ru was deposited by a sequential supply of bis(ethylcyclopentadienyl)ruthenium [Ru$(EtCp)_2$] and $NH_3$plasma and TaCN by a sequential supply of $(NEt_2)_3Ta=Nbu^t$ (tert-butylimido-trisdiethylamido-tantalum, TBTDET) and $H_2$ plasma. Sheet resistance measurements, X-ray diffractometry (XRD), and Auger electron spectroscopy (AES) analysis showed that the bilayer diffusion barriers of ALD-Ru (12 nm)/ALD-TaCN (2 nm) and ALD-Ru (4nm)/ALD-TaCN (2 nm) prevented the Cu diffusion up to annealing temperatures of 600 and $550^{\circ}C$ for 30 min, respectively. This is found to be due to the excellent diffusion barrier performance of the ALD-TaCN film against the Cu, due to it having an amorphous structure. A 5-nm-thick ALD-TaCN film was even stable up to annealing at $650^{\circ}C$ between Cu and Si. Transmission electron microscopy (TEM) investigation combined with energy dispersive spectroscopy (EDS) analysis revealed that the ALD-Ru/ALD-TaCN diffusion barrier failed by the Cu diffusion through the bilayer into the Si substrate. This is due to the ALD-TaCN interlayer preventing the interfacial reaction between the Ru and Si.

  • PDF

Simultaneous Formation of NiSi Contact and Cu Plug/Ti Barrier (NiSi 접촉과 Cu 플러그/Ti 확산방지층의 동시 형성 연구)

  • Bae, Kyoo-Sik
    • Korean Journal of Materials Research
    • /
    • v.20 no.6
    • /
    • pp.338-343
    • /
    • 2010
  • As an alternative to the W plug used in MOSFETs, a Cu plug with a NiSi contact using Ta / TaN as a diffusion barrier is currently being considered. Conventionally, Ni was first deposited and then NiSi was formed, followed by the barrier and Cu deposition. In this study, Ti was employed as a barrier material and simultaneous formation of the NiSi contact and Cu plug / Ti barrier was attempted. Cu(100 nm) / Ti / Ni(20 nm) with varying Ti thicknesses were deposited on a Si substrate and annealed at $4000^{\circ}C$ for 30 min. For comparison, Cu/Ti/NiSi thin films were also formed by the conventional method. Optical Microscopy (OM), Scanning Probe Microscopy (SPM), X-Ray Diffractometry (XRD), and Auger Electron Microscopy (AES) analysis were performed to characterize the inter-diffusion properties. For a Ti interlayer thicker than 50 nm, the NiSi formation was incomplete, although Cu diffusion was inhibited by the Ti barrier. For a Ti thickness of 20 nm and less, an almost stoichiometric NiSi contact along with the Cu plug and Ti barrier layers was formed. The results were comparable to that formed by the conventional method and showed that this alternative process has potential as a formation process for the Cu plug/Ti barrier/NiSi contact system.

A study of properties which the diffusion barrier Ta and IMD(Inter-Metal Dielectric) metrial SiOCH for $Cu^+$ ion diffusion (구리이온의 확산에 대한 IMD(Inter-Metal Dielectric)용 Low-k 물질인 SiOCH와 diffusion barrier Ta의 특성에 관한 연구)

  • Kim, J.W.;Song, J.H.;Choi, Y.H.;Kim, J.G.;Lee, H.Y.
    • Proceedings of the KIEE Conference
    • /
    • 2004.07c
    • /
    • pp.1697-1699
    • /
    • 2004
  • In this investigation, we have studied the diffusion of the $Cu^+$ ion in the Cu/Ta/SiOCH/Si and Cu/Ta/$SiO_2$/Si MIS-C structure. The Cu ions diffusion into the Ta barrier and SiOCH was examined by shift in flatband voltage of capacitance-voltage measurement and leakage current of current-voltage measurement. These evalution indicated that $Cu^+$ ion diffusion rate in Ta/SiOCH is considerably lower then the Ta/$SiO_2$ structure. And diffusion barrier Ta(50[nm]) is useful barrier against $Cu^+$ ion diffusion up to 450$^{\circ}C$.

  • PDF

A Study on the Diffusion Barrier Properties of Pt/Ti and Ni/Ti for Cu Metallization (구리 확산에 대한 Pt/Ti 및 Ni/Ti 확산 방지막 특성에 관한 연구)

  • 장성근
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.16 no.2
    • /
    • pp.97-101
    • /
    • 2003
  • New Pt/Ti and hi/Ti double-metal structures have been investigated for the application of a diffusion barrier between Cu and Si in deep submicron integrated circuits. Pt/Ti and Ni/Ti were deposited using E-beam evaporator at room temperature. The performance of Pt/Ti and Ni/Ti structures as diffusion barrier against Cu diffusion was examined by charge pumping method, gate leakage current, junction leakage current, and SIMS(secondary ion mass spectroscopy). These evaluation indicated that Pt/Ti(200${\AA}$/100${\AA}$) film is a good barrier against Cu diffusion up to 450$^{\circ}C$.

Phase Transformation by Cu Diffusion of Electrolessly Deposited Ni-B Diffusion Barrier for Cu Interconnect (Cu 미세 배선을 위한 무전해 Ni-B 확산 방지막의 Cu 확산에 따른 상변태 거동)

  • Choi J. W.;Hwang G. H.;Song J. H.;Kang S. G.
    • Korean Journal of Materials Research
    • /
    • v.15 no.11
    • /
    • pp.735-740
    • /
    • 2005
  • The phase transformation of Ni-B diffusion barrier by Cu diffusion was studied. The Ni-B diffusion barrier, thickness of 10(Inn, was electrolessly deposited on the electroplated Cu interconnect. The specimens were annealed either in Ar atmosphere or in $H_2$ atmosphere from $300^{\circ}C\;to\;800^{\circ}C$ for 30min, respectively. Although the Ni-B coated specimens showed the decomposition of $Ni_3B$ above $400^{\circ}C$ in both Ar atmosphere and $H_2$ atmosphere, Ni-B powders did not show the decomposition of $Ni_3B$. The $Ni_3B$ was decomposed to Ni and B in hi atmospherr: and the metallic Ni formed the solid solution with Cu and the free B was oxidized to $B_2O_3$. However, both the boron hydride and free B were not observed in the diffusion barrier after the annealing in $H_2$ atmos There. These results revealed that the decomposition of $Ni_3B$ by Cu made the Cu diffusion continued toward the Ni-B diffusion barrier.

Crystalline Structure and Cu Diffusion Barrier Property of Ta-Si-N Films (Ta-Si-N박막의 조성에 따른 결정구조 및 구리 확산 방지 특성 연구)

  • Jung, Byoung-Hyo;Lee, Won-Jong
    • Korean Journal of Materials Research
    • /
    • v.21 no.2
    • /
    • pp.95-99
    • /
    • 2011
  • The microstructure and Cu diffusion barrier property of Ta-Si-N films for various Si and N compositions were studied. Ta-Si-N films of a wide range of compositions (Si: 0~30 at.%, N: 0~55 at.%) were deposited by DC magnetron reactive sputtering of Ta and Si targets. Deposition rates of Ta and Si films as a function of DC target current density for various $N_2/(Ar+N_2)$ flow rate ratios were investigated. The composition of Ta-Si-N films was examined by wavelength dispersive spectroscopy (WDS). The variation of the microstructure of Ta-Si-N films with Si and N composition was examined by X-ray diffraction (XRD). The degree of crystallinity of Ta-Si-N films decreased with increasing Si and N composition. The Cu diffusion barrier property of Ta-Si-N films with more than sixty compositions was investigated. The Cu(100 nm)/Ta-Si-N(30 nm)/Si structure was used to investigate the Cu diffusion barrier property of Ta-Si-N films. The microstructure of all Cu/Ta-Si-N/Si structures after heat treatment for 1 hour at various temperatures was examined by XRD. A contour map that shows the diffusion barrier failure temperature for Cu as a function of Si and N composition was completed. At Si compositions ranging from 0 to 15 at.%, the Cu diffusion barrier property was best when the composition ratio of Ta + Si and N was almost identical.

Diffusion barrier characteristics of molybdenum nitride films for ultra-large-scale-integrated Cu metallization(II); Effect of deposition conditions on diffusion barrier behavior of molybdenum nitride

  • Lee, Jeong-Joub;Lee, You-Kee;Jeon, Seok-Ryong;Kim, dong-Joon
    • Journal of Korean Vacuum Science & Technology
    • /
    • v.1 no.1
    • /
    • pp.30-37
    • /
    • 1997
  • Interactions of Cu films with Si substrates separated by thin layers of molybdenum and molybdenum nitride were investigated in the viewpoint of diffusion barrier to copper. the diffusion barrier behavior of the layers was studied as functions of deposition and annealing conditions by cross-sectional transmission electron microscopy and Nomarski microscopy. the layers deposited at $N_2$ gas ratios of 0.4 and 0.5 exhibited good diffusion barrier behaviors up to $700^{\circ}C$, mainly due to the phase transformation of molybdenum to $\gamma$-Mo$_2$N phase. The increase in the N gas ratio in deposition elevates the lower limit of barrier failure temperature. Futhermore, amorphous molybdenum nitride films deposited at 20$0^{\circ}C$ and 30$0^{\circ}C$ did not fail, while the crystalline $\gamma$-Mo$_2$N films deposited at 40$0^{\circ}C$ and 50$0^{\circ}C$ showed signs of interlayer interactions between Cu and Si after annealing at 75$0^{\circ}C$ for 30 minutes. Therefore, the amorphous nature of the molybdenum nitride layer enhanced its ability to reduce Cu diffusion and its stability as a diffusion barrier at elevated temperatures.

Thermal Stability of Self-formed Barrier Stability Using Cu-V Thin Films

  • Han, Dong-Seok;Mun, Dae-Yong;Kim, Ung-Seon;Park, Jong-Wan
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.188-188
    • /
    • 2011
  • Recently, scaling down of ULSI (Ultra Large Scale Integration) circuit of CMOS (Complementary Meta Oxide Semiconductor) based electronic devices, the electronic devices, become much faster and smaller size that are promising property of semiconductor market. However, very narrow interconnect line width has some disadvantages. Deposition of conformal and thin barrier is not easy. And metallization process needs deposition of diffusion barrier and glue layer for EP/ELP deposition. Thus, there is not enough space for copper filling process. In order to get over these negative effects, simple process of copper metallization is important. In this study, Cu-V alloy layer was deposited using of DC/RF magnetron sputter deposition system. Cu-V alloy film was deposited on the plane SiO2/Si bi-layer substrate with smooth surface. Cu-V film's thickness was about 50 nm. Cu-V alloy film deposited at $150^{\circ}C$. XRD, AFM, Hall measurement system, and AES were used to analyze this work. For the barrier formation, annealing temperature was 300, 400, $500^{\circ}C$ (1 hour). Barrier thermal stability was tested by I-V(leakage current) and XRD analysis after 300, 500, $700^{\circ}C$ (12 hour) annealing. With this research, over $500^{\circ}C$ annealed barrier has large leakage current. However vanadium-based diffusion barrier annealed at $400^{\circ}C$ has good thermal stability. Therefore thermal stability of vanadium-based diffusion barrier is desirable for copper interconnection.

  • PDF

Investigation of Vanadium-based Thin Interlayer for Cu Diffusion Barrier

  • Han, Dong-Seok;Park, Jong-Wan;Mun, Dae-Yong;Park, Jae-Hyeong;Mun, Yeon-Geon;Kim, Ung-Seon;Sin, Sae-Yeong
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2011.05a
    • /
    • pp.41.2-41.2
    • /
    • 2011
  • Recently, scaling down of ULSI (Ultra Large Scale Integration) circuit of CMOS (Complementary Metal Oxide Semiconductor) based electronic devices become much faster speed and smaller size than ever before. However, very narrow interconnect line width causes some drawbacks. For example, deposition of conformal and thin barrier is not easy moreover metallization process needs deposition of diffusion barrier and glue layer. Therefore, there is not enough space for copper filling process. In order to overcome these negative effects, simple process of copper metallization is required. In this research, Cu-V thin alloy film was formed by using RF magnetron sputter deposition system. Cu-V alloy film was deposited on the plane $SiO_2$/Si bi-layer substrate with smooth and uniform surface. Cu-V film thickness was about 50 nm. Cu-V layer was deposited at RT, 100, 150, 200, and $250^{\circ}C$. XRD, AFM, Hall measurement system, and XPS were used to analyze Cu-V thin film. For the barrier formation, Cu-V film was annealed at 200, 300, 400, 500, and $600^{\circ}C$ (1 hour). As a result, V-based thin interlayer between Cu-V film and $SiO_2$ dielectric layer was formed by itself with annealing. Thin interlayer was confirmed by TEM (Transmission Electron Microscope) analysis. Barrier thermal stability was tested with I-V (for measuring leakage current) and XRD analysis after 300, 400, 500, 600, and $700^{\circ}C$ (12 hour) annealing. With this research, over $500^{\circ}C$ annealed barrier has large leakage current. However V-based diffusion barrier annealed at $400^{\circ}C$ has good thermal stability. Thus, thermal stability of vanadium-based thin interlayer as diffusion barrier is good for copper interconnection.

  • PDF

A study on ZrN layer as a diffusion barrier between Cu and Si (Cu와 Si 사이에서 확산방지막으로 사용하기 위한 ZrN 층의 연구)

  • 김창조;김좌연;윤의중;이재갑
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 1998.11a
    • /
    • pp.21-24
    • /
    • 1998
  • The properties of ZrN layer deposited by Sputtering system have been investigated in the application of diffusion barrier layer to copper. ZrN layer exhibited a excellent barrier property up to $700^{\circ}$ and higher resistivity. If an excess $O_2$is protected during the process of ZrN deposition, ZrN layer will be possible to use a diffusion barrier layer to copper.

  • PDF