• Title/Summary/Keyword: Copper CMP

Search Result 80, Processing Time 0.027 seconds

Effect of Citric Acid in Cu Chemical Mechanical Planarization Slurry on Frictional Characteristics and Step Height Reduction of Cu Pattern

  • Lee, Hyunseop
    • Tribology and Lubricants
    • /
    • v.34 no.6
    • /
    • pp.226-234
    • /
    • 2018
  • Copper chemical mechanical planarization (CMP) has become a key process in integrated circuit (IC) technology. The results of copper CMP depend not only on the mechanical abrasion, but also on the slurry chemistry. The slurry used for Cu CMP is known to have greater chemical reactivity than mechanical material removal. The Cu CMP slurry is composed of abrasive particles, an oxidizing agent, a complexing agent, and a corrosion inhibitor. Citric acid can be used as the complexing agent in Cu CMP slurries, and is widely used for post-CMP cleaning. Although many studies have investigated the effect of citric acid on Cu CMP, no studies have yet been conducted on the interfacial friction characteristics and step height reduction in CMP patterns. In this study, the effect of citric acid on the friction characteristics and step height reduction in a copper wafer with varying pattern densities during CMP are investigated. The prepared slurry consists of citric acid ($C_6H_8O_7$), hydrogen peroxide ($H_2O_2$), and colloidal silica. The friction force is found to depend on the concentration of citric acid in the copper CMP slurry. The step heights of the patterns decrease rapidly with decreasing citric acid concentration in the copper CMP slurry. The step height of the copper pattern decreases more slowly in high-density regions than in low-density regions.

Roles of Phosphoric Acid in Slurry for Cu and TaN CMP

  • Kim, Sang-Yong;Lim, Jong-Heun;Yu, Chong-Hee;Kim, Nam-Hoon;Chang, Eui-Goo
    • Transactions on Electrical and Electronic Materials
    • /
    • v.4 no.2
    • /
    • pp.1-4
    • /
    • 2003
  • The purpose of this study was to investigate the characteristics of slurry including phosphoric acid for chemical-mechanical planarization of copper and tantalum nitride. In general, the slurry for copper CMP consists of alumina or colloidal silica as an abrasive, organic acid as a complexing agent, an oxidizing agent, a film forming agent, a pH control agent and additives. Hydrogen peroxide (H$_2$O$_2$) is the material that is used as an oxidizing agent in copper CMP. But, the hydrogen peroxide needs some stabilizers to prevent decomposition. We evaluated phosphoric acid (H$_3$PO$_4$) as a stabilizer of the hydrogen peroxide as well as an accelerator of the tantalum nitride CMP process. We also estimated dispersion stability and zeta potential of the abrasive with the contents of phosphoric acid. An acceleration of the tantalum nitride CMP was verified through the electrochemical test. This approach may be useful for the development of the 2$\^$nd/ step copper CMP slurry and hydrogen peroxide stability.

A Study on Semi Abrasive Free Slurry including Acid Colloidal Silica for Copper Chemical Mechanical Planarization (구리 CMP 적용을 위한 산성 콜로이드 실리카를 포함한 준무연마제 슬러리 연구)

  • 김남훈;김상용;서용진;김태형;장의구
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.3
    • /
    • pp.272-277
    • /
    • 2004
  • The primary aim of this study is to investigate new semi-abrasive free slurry including acid colloidal silica and hydrogen peroxide for copper chemical-mechanical planarization (CMP). In general, slurry for copper CMP consists of colloidal silica as an abrasive, organic acid as a complex-forming agent, hydrogen peroxide as an oxidizing agent, a film forming agent, a pH control agent and several additives. We developed new semi-abrasive free slurry (SAFS) including below 0.5% acid colloidal silica. We evaluated additives as stabilizers for hydrogen peroxide as well as accelerators in tantalum nitride CMP process. We also estimated dispersion stability and Zeta potential of the acid colloidal silica with additives. The extent of enhancement in tantalum nitride CMP was verified through anelectrochemical test. This approach may be useful for the application of single and first step copper CMP slurry with one package system.

Study of defect characteristics by electrochemical plating thickness in copper CMP (Copper CMP에서 Electrochemical Plating 두께에 따른 Defect 특성 연구)

  • Kim, Tae-Gun;Kim, Nam-Hoon;Kim, Sang-Yong;Chang, Eui-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2005.07a
    • /
    • pp.125-126
    • /
    • 2005
  • Recently semiconductor devices are required more smaller scale and more powerful performance. For smaller scale of device, multilayer structure is proposed. And, for the higher performance, interconnection material is change to copper, because copper has high EM(Electro-migration)and low resistivity. Then copper CMP process is a great role in a multilayer formation of semiconductor. Copper process is different from aluminum process. ECP process is one of the copper processes. In this paper, we focused on the defects tendency by copper thickness which filled using ECP process. we observed hump high and dishing. Conclusively, hump hight reduced at copper thickness increased Also dishing reduced.

  • PDF

Mechanical Analysis on Uniformity in Copper Chemical Mechanical Planarization (Copper CMP시 연마균일성에 관한 기계적 해석)

  • Jeong, Hae-Do;Lee, Hyun-Seop;Kim, Hyoung-Jae
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2006.06a
    • /
    • pp.49-50
    • /
    • 2006
  • The studies on Cu CMP have focused on material removal and its mechanisms. Although many studies have been conducted on the mechanism of Cu CMP, a study on uniformity in Cu CMP is still unknown. Since the aim of CMP is global and local planarization, the approach to uniformity in Cu CMP is essential to elucidate the Cu CMP mechanism as well. The main purpose of the experiment reported here was to investigate the roles of slurry components in the formation of the uniformity in Cu CMP. All the results of in this study showed that the uniformity in Cu CMP could be controlled by the contents of slurry components.

  • PDF

Study on Cu CMP by using Semi-Abrasive Free Slurry (준 무연마제 슬러리를 아용한 Cu CMP 연구)

  • Kim, Nam-Hoon;Lim, Jong-Heun;Eom, Jun-Chul;Kim, Sang-Yong;Kim, Chang-Il;Chang, Eui-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.05c
    • /
    • pp.158-161
    • /
    • 2003
  • The primary aim of this study is to investigate new semi-abrasive free slurry including acid colloidal silica and hydrogen peroxide for copper chemical-mechanical planarization (CMP). In general, slurry for copper CMP consists of colloidal silica as an abrasive, organic acid as a complex-forming agent, hydrogen peroxide as an oxidizing agent, a film forming agent, a pH control agent and several additives. We developed new semi-abrasive free slurry (SAFS) including below 0.5% acid colloidal silica. We evaluated additives as stabilizers for hydrogen peroxide as well as accelerators in tantalum nitride CMP process. We also estimated dispersion stability and Zeta potential of the acid colloidal silica with additives. The extent of enhancement in tantalum nitride CMP was verified through anelectrochemical test. This approach may be useful for the application of single and first step copper CMP slurry with one package system.

  • PDF

Effect of Alanine on Cu/TaN Selectivity in Cu-CMP (Cu-CMP에서 Alanine이 Cu와 TaN의 선택비에 미치는 영향)

  • Park Jin-Hyung;Kim Min-Seok;Paik Ungyu;Park Jea-Gun
    • Korean Journal of Materials Research
    • /
    • v.15 no.6
    • /
    • pp.426-430
    • /
    • 2005
  • Chemical mechanical polishing (CMP) is an essential process in the production of integrated circuits containing copper interconnects. The effect of alanine in reactive slurries representative of those that might be used in copper CMP was studied with the aim of improving selectivity between copper(Cu) film and tantalum-nitride(TaN) film. We investigated the pH effect of nano-colloidal silica slurry containing alanine through the chemical mechanical polishing test for the 8(inch) blanket wafers as deposited Cu and TaN film, respectively. The copper and tantalum-nitride removal rate decreased with the increase of pH and reaches the neutral at pH 7, then, with the further increase of pH to alkaline, the removal rate rise to increase soddenly. It was found that alkaline slurry has a higher removal rate than acidic and neutral slurries for copper film, but the removal rate of tantalum-nitride does not change much. These tests indicated that alanine may improve the CMP process by controlling the selectivity between Cu and TaN film.

Mechanical Analysis on Uniformity in Copper Chemical Mechanical Planarization (Cu CMP에서의 연마 균일성에 관한 기계적 해석)

  • Lee, Hyun-Seop;Park, Boum-Young;Jeong, Hae-Do;Kim, Hyoung-Jae
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.20 no.1
    • /
    • pp.74-79
    • /
    • 2007
  • Most studies on copper Chemical Mechanical Planarization (CMP) have focused on material removal and its mechanisms. Although many studies have been conducted on the mechanism of Cu CMP, a study on uniformity in Cu CMP is still unknown. Since the aim of CMP is global and local planarization, the approach to various factors related to uniformity in Cu CMP is essential to elucidate the Cu CMP mechanism as well. The main purpose of the experiment reported here was to investigate and mechanically analyze the roles of slurry components in the formation of the uniformity in Cu CMP. In this paper, Cu CMP was performed using citric acid($C_{6}H_{8}O_{7}$), hydrogen peroxide($H_{2}O_{2}$), colloidal silica, and benzotriazole($BTA,\;C_{6}H_{4}N_{3}H$) as a complexing agent, an oxidizer, an abrasive, and a corrosion inhibitor, respectively. All the results of this study showed that within-wafer non-uniformity(WIWNU) of Cu CMP could be controlled by the contents of slurry components.

The Cu-CMP's features regarding the additional volume of oxidizer to W-Slurry (W-slurry의 산화제 첨가량에 따른 Cu-CMP특성)

  • Lee, Woo-Sun;Choi, Gwon-Woo;Seo, Young-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.07a
    • /
    • pp.370-373
    • /
    • 2003
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. However, as the IMD layer gets thinner, micro-scratches are becoming as major defects. Chemical-Mechanical Planarization(CMP) of conductors is a key process in Damascene patterning of advanced interconnect structure. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. Electroplated copper depostion is a mature process from a historical point of view, but a very young process from a CMP persperspective. While copper electrodepostion has been used and stuidied for dacades, its application to Cu damascene wafer processing is only now ganing complete accptance in the semiconductor industry. The polishing mechanism of Cu CMP process has been reported as the repeated process of passive layer formation by oxidizer and abrasion action by slurry abrasives. however it is important to understand the effect of oxidizer on copper pasivation layer in order to obtain higher removal rate and non-uniformity during Cu-CMP process. In this paper, we investigated the effects of oxidizer on Cu-CMP process regarding the additional volume of oxidizer.

  • PDF

The Cu-CMP's features regarding the additional volume of oxidizer (산화제 배합비에 따른 연마입자 크기와 Cu-CMP의 특성)

  • Kim, Tae-Wan;Lee, Woo-Sun;Choi, Gwon-Woo;Seo, Young-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.07a
    • /
    • pp.20-23
    • /
    • 2004
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing(CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. However, as the IMD layer gets thinner, micro-scratches are becoming as major defects. Chemical-Mechanical polishing(CMP) of conductors is a key process in Damascene patterning of advanced interconnect structure. The effect of alternative commercial slurries pads, and post-CMP cleaning alternatives are discuss, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. Electroplated copper deposition is a mature process from a historical point of view, but a very young process from a CMP perspective. While copper electro deposition has been used and studied for decades, its application to Cu damascene wafer processing is only now gaining complete acceptance in the semiconductor industry. The polishing mechanism of Cu-CMP process has been reported as the repeated process of passive layer formation by oxidizer and abrasion action by slurry abrasives. however it is important to understand the effect of oxidizer on copper passivation layer in order to obtain higher removal rate and non-uniformity during Cu-CMP process. In this paper, we investigated the effects of oxidizer on Cu-CMP process regarding the additional volume of oxidizer.

  • PDF