• 제목/요약/키워드: Chemical Mechanical Polishing (CMP)

검색결과 428건 처리시간 0.028초

CMP 공정후 세정공정 여부에 따른 $Pb(Zr,Ti)O_3$ 박막 캐패시터의 피로 특성 (Fatigue Properties of $Pb(Zr,Ti)O_3$ Thin Film Capacitor by Cleaning Process in Post-CMP)

  • 전영길;김남훈;이우선
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2006년도 추계학술대회 논문집 Vol.19
    • /
    • pp.139-140
    • /
    • 2006
  • PZT박막은 비휘발성 재료로 유전율이 높고 항전력이 작으면서 잔류 분극랑이 크기 때문에 적합한 특성을 가지고 FeRAM에 매력적인 물질이다. CMP(chemical mechanical polishing)는 기존의 회생막의 전면 식각 공정과는 달리 특정 부위의 제거 속도를 조절함으로써 평탄화 하는 기술로 wafer 전면을 회전하는 탄성 패드 사이에 액상의 Slurry를 투입하여 연마하는 기술이다. 본 논문에서는 CMP 공정으로 제조한 PZT박막 캐패시터에서 CMP 후처리공정(세척)의 유무 및 종류에 따라 피로특성에 대하여 연구하였다, PZT 박막의 캐패시터의 피로 특성을 연구한 결과 CMP 후처리공정 SC-l용액을 사용하여 세정공정을 하였을때 가장 향상된 PZT 캐패시터의 피로특성이 나타났다.

  • PDF

반경험적인 실험설계 기법을 이용한 CMP 공정 변수의 최적화 (Optimization of CMP Process Parameter using Semi-empirical DOE (Design of Experiment) Technique)

  • 이경진;김상용;서용진
    • 한국전기전자재료학회논문지
    • /
    • 제15권11호
    • /
    • pp.939-945
    • /
    • 2002
  • The rise throughput and the stability in the device fabrication can be obtained by applying chemical mechanical polishing (CMP) process in 0.18 $\mu\textrm{m}$ semiconductor device. However, it still has various problems due to the CMP equipment. Especially, among the CMP components, process variables are very important parameters in determining the removal rate and non-uniformity. In this paper, we studied the DOE (design of experiment) method in order to get the optimized CMP equipment variables. Various process parameters, such as table and head speed, slurry flow rate and down force, have investigated in the viewpoint of removal rate and non-uniformity. Through the above DOE results, we could set-up the optimal CMP process parameters.

공정변수 변화에 따른 ITO 박막의 연마특성 (CMP Properties of ITO Thin Film by CMP Process Parameters)

  • 최권우;김남훈;서용진;이우선
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2005년도 추계학술대회 논문집 Vol.18
    • /
    • pp.105-106
    • /
    • 2005
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process has been widely used in microelectronics and semiconductor processes. Indium tin oxide (ITO) thin film was polished by CMP by the change of process parameters for the improvement of CMP performance. Removal rate and planarity were improved after CMP process at the optimized process parameters compared to that before CMP process.

  • PDF

Alternative Optimization Techniques for Shallow Trench Isolation and Replacement Gate Technology Chemical Mechanical Planarization

  • Stefanova, Y.;Cilek, F.;Endres, R.;Schwalke, U.
    • Transactions on Electrical and Electronic Materials
    • /
    • 제8권1호
    • /
    • pp.1-4
    • /
    • 2007
  • This paper discusses two approaches for pre-polishing optimization of oxide chemical mechanical planarization (CMP) that can be used as alternatives to the commonly applied dummy structure insertion in shallow trench isolation (STI) and replacement gate (RG) technologies: reverse nitride masking (RNM) and oxide etchback (OEB). Wafers have been produced using each optimization technique and CMP tests have been performed. Dishing, erosion and global planarity have been investigated with the help of conductive atomic force microscopy (C-AFM). The results demonstrate the effectiveness of both techniques which yield excellent planarity without dummy structure related performance degradation due to capacitive coupling.

Numerical Analysis of a Slurry Flow on a Rotating CMP Pad Using a Two-phase Flow Model

  • Nagayama, Katsuya;Sakai, Tommi;Kimura, Keiichi;Tanaka, Kazuhiro
    • International Journal of Precision Engineering and Manufacturing
    • /
    • 제9권2호
    • /
    • pp.8-10
    • /
    • 2008
  • Chemical mechanical polishing (CMP) is a very precise planarization technique where a wafer is polished by a slurry-coated pad. A slurry is dropped on the rotating pad surface and is supplied between the wafer and the pad. This research aims at reducing the slurry consumption and removing waste particles quickly from the wafer. To study the roles of grooves, slurry flows were simulated using the volume of fluid method (two-phase model for air and slurry) for pads with no grooves, and for pads with circular grooves.

Consumable Approaches of Polysilicon MEMS CMP

  • Park, Sung-Min;Jeong, Suk-Hoon;Jeong, Moon-Ki;Park, Boum-Young;Jeong, Hae-Do;Kim, Hyoung-Jae
    • Transactions on Electrical and Electronic Materials
    • /
    • 제7권4호
    • /
    • pp.157-162
    • /
    • 2006
  • Chemical-mechanical polishing (CMP), one of the dominant technology for ULSI planarization, is used to flatten the micro electro-mechanical systems (MEMS) structures. The objective of this paper is to achieve good planarization of the deposited film and to improve deposition efficiency of subsequent layer structures by using surface-micromachining process in MEMS technology. Planarization characteristic of poly-Si film deposited on thin oxide layer with MEMS structures is evaluated with different slurries. Patterns used for this research have shapes of square, density, line, hole, pillar, and micro engine part. Advantages of CMP process for MEMS structures are observed respectively by using the test patterns with structures larger than 1 urn line width. Preliminary tests for material selectivity of poly-Si and oxide are conducted with two types of silica slurries: $ILD1300^{TM}\;and\;Nalco2371^{TM}$. And then, the experiments were conducted based on the pretest. A selectivity and pH adjustment of slurry affected largely step heights of MEMS structures. These results would be anticipated as an important bridge stone to manufacture MEMS CMP slurry.

Ruthenium CMP에서 Cerium Ammonium Nitrate와 알루미나 연마 입자가 연마 거동에 미치는 영향 (Effect of Cerium Ammonium Nitrate and Alumina Abrasive Particles on Polishing Behavior in Ruthenium Chemical Mechanical Planarization)

  • 이상호;이승호;강영재;김인권;박진구
    • 한국전기전자재료학회논문지
    • /
    • 제18권9호
    • /
    • pp.803-809
    • /
    • 2005
  • Cerium ammonium nitrate (CAN) and nitric acid was used an etchant and an additive for Ru etching and polishing. pH and Eh values of the CAN and nitric acid added chemical solution satisfied the Ru etching condition. The etch rate increased linearly as the concentration of CAN increased. Nitric acid added solution had the high etch rate. But micro roughness of etched surfaces was not changed before and after etching, The removal rate of Ru film was the highest in $1wt\%$ abrasive added slurry, and not increased despite the concentration of alumina abrasive increased to $5wt\%$. Even Ru film was polished by only CAN solution due to the friction. The highest removal rate of 120nm/min was obtained in 1 M nitric acid and $1wt\%$ alumina abrasive particles added slurry. The lowest micro roughness value was observed in this slurry after polishing. From the XPS analysis of etched Ru surface, oxide layer was founded on the etched Ru surface. Therefore, Ru was polished by chemical etching of CAN solution and oxide layer abrasion by abrasive particles. From the result of removal rate without abrasive particle, the etching of CAN solution is more dominant to the Ru CMP.

CFD를 이용한 CMP의 Pad Groove 형상 설계 연구 (Design of Pad Groove in CMP using CFD)

  • 최치웅;이도형
    • 한국유체기계학회 논문집
    • /
    • 제6권4호
    • /
    • pp.21-28
    • /
    • 2003
  • CMP (Chemical Mechanical Polishing) is to achieve adequate local and global planarization for future sub-micrometer VLSI requirements. In designing CMP, numerical computation is quite helpful in terms of reducing the amount of experimental works. Stresses on pad, concentration of particles and particle tracking are studied for design. In this research, the optimization of grooved pad shape of CMP is performed through numerical investigation of slurry flow in CMP process. The result indicates that the combination of sinusoidal groove and skewed pad is the most optimal shape among the twenty candidates. Useful information can be obtained in velocity, pressure, stress, concentration of particles and particles trajectories, etc.

고정입자 패드를 이용한 층간 절연막 CMP에 관한 연구 (The Study of ILD CMP Using Abrasive Embedded Pad)

  • 박재홍;김호윤;정해도
    • 한국정밀공학회:학술대회논문집
    • /
    • 한국정밀공학회 2001년도 춘계학술대회 논문집
    • /
    • pp.1117-1120
    • /
    • 2001
  • Chemical mechanical planarization(CMP) has emerged as the planarization technique of choice in both front-end and back-end integrated circuit manufacturing. Conventional CMP process utilize a polyurethane polishing pad and liquid chemical slurry containing abrasive particles. There have been serious problems in CMP in terms of repeatability and defects in patterned wafers. Since IBM's official announcement on Copper Dual Damascene(Cu2D) technology, the semiconductor world has been engaged in a Cu2D race. Today, even after~3years of extensive R&D work, the End-of-Line(EOL) yields are still too low to allow the transition of technology to manufacturing. One of the reasons behind this is the myriad of defects associated with Cu technology. Especially, dishing and erosion defects increase the resistance because they decrease the interconnection section area, and ultimately reduce the lifetime of the semiconductor. Methods to reduce dishing & erosion have recently been interface hardness of the pad, optimization of the pattern structure as dummy patterns. Dishing & erosion are initially generated an uneven pressure distribution in the materials. These defects are accelerated by free abrasive and chemical etching. Therefore, it is known that dishing & erosion can be reduced by minimizing the abrasive concentration. Minimizing the abrasive concentration by using Ce$O_2$ is the best solution for reducing dishing & erosion and for removal rate. This paper introduce dishing & erosion generating mechanism and a method for developing a semi-rigid abrasive pad to minimize dishing & erosion during CMP.

  • PDF

금속 CMP 공정에서 연마제와 슬러리 케미컬에 의한 passivation layer의 연마특성 (Polishing Characteristics of passivation layer by abrasive particles and slurry chemical in the Metal CMP process)

  • 박창준;서용진;이경진;정소영;김상용;이우선
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2003년도 춘계학술대회 논문집 센서 박막재료 반도체 세라믹
    • /
    • pp.45-48
    • /
    • 2003
  • The polishing mechanism of W-CMP process has been reported as the repeated process of passive layer formation by oxidizer and abrasion action by slurry abrasives. Thus, it is important to understand the effect of oxidizer on tungsten passivation layer in order to obtain higher removal rate (RR) and very low non-uniformity (NU%) during W-CMP process. In this paper, we investigated the effects of oxidizer on W-CMP process with three different kinds of oxidizers, such as $H_2O_2$, $Fe(NO_3)_3$, and $KIO_3$. In order to compare the removal rate and non-uniformity of three oxidizers, we used alumina-based slurry of pH 4. According to the CMP tests, three oxidizers showed different removal mechanism on tungsten surface. Also, the microstructures of surface layer by AFM image were greatly influenced by the slurry chemical, composition of oxidizers. The difference in removal rate and roughness of tungsten surface are believed to caused by modification in the mechanical behavior of $Al_2O_3$ abrasive particles in CMP slurry. Our stabilized slurries can be used a guideline and promising method for improved W-CMP process.

  • PDF