• Title/Summary/Keyword: threshold variation

Search Result 368, Processing Time 0.025 seconds

Device Design Guideline to Reduce the Threshold Voltage Variation with Fin Width in Junctionless MuGFETs (핀 폭에 따른 문턱전압 변화를 줄이기 위한 무접합 MuGFET 소자설계 가이드라인)

  • Lee, Seung-Min;Park, Jong-Tae
    • Journal of the Korea Institute of Information and Communication Engineering
    • /
    • v.18 no.1
    • /
    • pp.135-141
    • /
    • 2014
  • In this paper, the device design guideline to reduce the threshold voltage variation with fin width in junctionless MuGFET has been suggested. It has been observed that the threshold voltage variation was increased with increase of fin width in junctionless MuGFETs. To reduce the threshold voltage variation with fin width in junctionless MuGFETs, 3-dimensional device simulation with different gate dielectric materials, silicon film thickness, and an optimized fin number has been performed. The simulation results showed that the threshold voltage variation can be reduced by the gate dielectric materials with a high dielectric constant such as $La_2O_3$ and the silicon film with ultra-thin thickness even though the fin width is increased. Particularly, the reduction of the threshold voltage variation and the subthreshold slope by reducing the fin width and increasing the fin numbers is known the optimized device design guideline in junctionless MuGFETs.

Voltage and Frequency Tuning Methodology for Near-Threshold Manycore Computing using Critical Path Delay Variation

  • Li, Chang-Lin;Kim, Hyun Joong;Heo, Seo Weon;Han, Tae Hee
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.15 no.6
    • /
    • pp.678-684
    • /
    • 2015
  • Near-threshold computing (NTC) is now regarded as a promising candidate for innovative power reduction, which cannot be achieved with conventional super-threshold computing (STC). However, performance degradation and vulnerability to process variation in the NTC regime are the primary concerns. In this paper, we propose a voltage- and frequency-tuning methodology for mitigating the process-variation-induced problems in NTC-based manycore architectures. To implement the proposed methodology, we build up multiple-voltage multiple-frequency (MVMF) islands and apply a voltage-frequency tuning algorithm based on the critical-path monitoring technique to reduce the effects of process variation and maximize energy efficiency in the post-silicon stage. Experimental results show that the proposed methodology reduces overall power consumption by 8.2-20.0%, compared to existing methods in variation-sensitive NTC environments.

Investigation of threshold voltage change due to the influence of work-function variation of monolithic 3D Inverter with High-K Gate Oxide (고유전율 게이트 산화막을 가진 적층형 3차원 인버터의 일함수 변화 영향에 의한 문턱전압 변화 조사)

  • Lee, Geun Jae;Yu, Yun Seop
    • Proceedings of the Korean Institute of Information and Commucation Sciences Conference
    • /
    • 2022.10a
    • /
    • pp.118-120
    • /
    • 2022
  • This paper investigated the change of threshold voltage according to the influence of work-function variation (WFV) of metal gate in the device structure of monolithic 3-dimension inverter (M3DINV). In addition, in order to investigate the change in threshold voltage according to the electrical coupling of the NMOS stacked on the PMOS, the gate voltages of PMOS were applied as 0 and 1 V and then the electrical coupling was investigated. The average change in threshold voltage was measured to be 0.1684 V, and they standard deviation was 0.00079 V.

  • PDF

5-TFT OLED Pixel Circuit Compensating Threshold Voltage Variation of p-channel Poly-Si TFTs (p-채널 다결정 실리콘 박막 트랜지스터의 문턱전압 변동을 보상할 수 있는 5-TFT OLED 화소회로)

  • Chung, Hoon-Ju
    • The Journal of the Korea institute of electronic communication sciences
    • /
    • v.9 no.3
    • /
    • pp.279-284
    • /
    • 2014
  • This paper proposes a novel OLED pixel circuit to compensate the threshold voltage variation of p-channel low temperature polycrystalline silicon thin-film transistors (LTPS TFTs). The proposed 5-TFT OLED pixel circuit consists of 4 switching TFTs, 1 OLED driving TFT and 1 capacitor. One frame of the proposed pixel circuit is divided into initialization period, threshold voltage sensing and data programming period, data holding period and emission period. SmartSpice simulation results show that the maximum error rate of OLED current is -4.06% when the threshold voltage of driving TFT varies by ${\pm}0.25V$ and that of OLED current is 9.74% when the threshold voltage of driving TFT varies by ${\pm}0.50V$. Thus, the proposed 5T1C pixel circuit can realize uniform OLED current with high immunity to the threshold voltage variation of p-channel poly-Si TFT.

Preventive Adaption Threshold Mechanism in Buffer Allocation for Shared Memory Buffer (공유 메모리 버퍼에서의 예방적 적응 한계치 버퍼 할당 기법)

  • Shin, Tae-Ho;Lee, Sung-Chang;Lee, Hyeong-Ho
    • Journal of the Institute of Electronics Engineers of Korea TC
    • /
    • v.38 no.10
    • /
    • pp.24-33
    • /
    • 2001
  • Delay, delay variation and packet loss rate are principal QoS(Quality of Service) elements of packet communication. This paper proposes a new buffer allocation mechanism to improve the packet loss performance in such a situation that multiple logical buffers share a single physical memory buffer. In the proposed buffer allocation mechanism, the movement of dynamic threshold follows a curved track instead of a straight line which is used in the DT(dynamic threshold) mechanism. In order evaluate the effectiveness of the proposed mechanism, it is compared with the existing previously proposed mechanisms in several aspects including NC(no control), ST(Static Threshold) and DT mechanisms.

  • PDF

A Symbol Synchronization Algorithm With an Adaptive Threshold Establishment Method For OFDM Systems (OFDM시스템을 위한 적응 문턱값 설정방식의 심볼동기화 알고리듬)

  • Song, Dong-Ho;Joo, Chang-Bok
    • Journal of the Institute of Electronics Engineers of Korea TC
    • /
    • v.40 no.6
    • /
    • pp.213-224
    • /
    • 2003
  • The proposed algorithm can always set up the optimal threshold value regardless of channel characteristics using an adaptive threshold establishment method that determines the threshold level according to channel noise power, and then it uses the specially designed training symbols that can make the algorithm's estimation performance be less sensitive to power delay profile variation in a multipath channel. In result, the estimation performance of the proposed technique is less affected by channel characteristic variation.

A Study on the Temperature Variation Characteristics of Power VDMOSFET (전력 VDMOSFET의 온도변화 특성에 관한 연구)

  • Lee, Woo-Sun
    • The Transactions of the Korean Institute of Electrical Engineers
    • /
    • v.35 no.7
    • /
    • pp.278-284
    • /
    • 1986
  • Double-diffused metal oxide power semiconductor field effect transistors are used extensively in recent years in various circuit applications. The temperature variation of the drain current at a fixed bias shows both positive and negative resistance characteristics depending on the gate threshold voltage and gate-to source bias votage. In this paper, the decision method of the gate crossover voltage by the temperature variation and a new method to determine the gate threshold voltage graphecally are presented.

  • PDF

Subthreshold Current Model of FinFET Using Three Dimensional Poisson's Equation

  • Jung, Hak-Kee
    • Journal of information and communication convergence engineering
    • /
    • v.7 no.1
    • /
    • pp.57-61
    • /
    • 2009
  • This paper has presented the subthreshold current model of FinFET using the potential variation in the doped channel based on the analytical solution of three dimensional Poisson's equation. The model has been verified by the comparison with the data from 3D numerical device simulator. The variation of subthreshold current with front and back gate bias has been studied. The variation of subthreshold swing and threshold voltage with front and back gate bias has been investigated.

An OLED Pixel Circuit Compensating Threshold Voltage Variation of n-channel OLED·Driving TFT (n-채널 OLED 구동 박막 트랜지스터의 문턱전압 변동을 보상할 수 있는 OLED 화소회로)

  • Chung, Hoon-Ju
    • The Journal of Korea Institute of Information, Electronics, and Communication Technology
    • /
    • v.15 no.3
    • /
    • pp.205-210
    • /
    • 2022
  • A novel OLED pixel circuit is proposed in this paper that uses only n-type thin-film transistors(TFTs) to improve the luminance non-uniformity of the AMOLED display caused by the threshold voltage variation of an OLED driving TFT. The proposed OLED pixel circuit is composed of 6 n-channel TFTs and 2 capacitors. The operation of the proposed OLED pixel circuit consists of the capacitor initializing period, threshold voltage sensing period of an OLED·driving TFT, image data voltage writing period, and OLED·emitting period. As a result of SmartSpice simulation, when the threshold voltage of·OLED·driving TFT varies from 1.2 V to 1.8 V, the proposed OLED pixel circuit has a maximum current error of 5.18 % at IOLED = 1 nA. And, when the OLED cathode voltage rises by 0.1 V, the proposed OLED pixel circuit has very little change in the OLED current compared to the conventional OLED pixel circuit. Therefore, the proposed pixel circuit exhibits superior compensation characteristics for the threshold voltage variation of an OLED driving TFT and the rise of the OLED cathode voltage compared to the conventional OLED pixel circuit.

A Polysilicon Field Effect Transistor Pressure Sensor of Thin Nitride Membrane Choking Effect of Right After Turn-on for Stress Sensitivity Improvement (스트레스 감도 향상을 위한 턴 온 직후의 조름 효과를 이용한 얇은 질화막 폴리실리콘 전계 효과 트랜지스터 압력센서)

  • Jung, Hanyung;Lee, Junghoon
    • Journal of Sensor Science and Technology
    • /
    • v.23 no.2
    • /
    • pp.114-121
    • /
    • 2014
  • We report a polysilicon active area membrane field effect transistor (PSAFET) pressure sensor for low stress deflection of membrane. The PSAFET was produced in conventional FET semiconductor fabrication and backside wet etching. The PSAFET located at the front side measured pressure change using 300 nm thin-nitride membrane when a membrane was slightly strained by the small deflection of membrane shape from backside with any physical force. The PSAFET showed high sensitivity around threshold voltage, because threshold voltage variation was composed of fractional function form in sensitivity equation of current variation. When gate voltage was biased close to threshold voltage, a fractional function form had infinite value at $V_{tn}$, which increased the current variation of sensitivity. Threshold voltage effect was dominant right after the PSAFET was turned on. Narrow transistor channel established by small current flow was choked because electron could barely cross drain-source electrodes. When gate voltage was far from threshold voltage, threshold voltage effect converged to zero in fractional form of threshold voltage variations and drain current change was mostly determined by mobility changes. As the PSAFET fabrication was compatible with a polysilicon FET in CMOS fabrication, it could be adapted in low pressure sensor and bio molecular sensor.