• Title/Summary/Keyword: silica slurry

Search Result 140, Processing Time 0.022 seconds

Evaluation of Al CMP Slurry based on Abrasives for Next Generation Metal Line Fabrication (연마제 특성에 따른 차세대 금속배선용 Al CMP (chemical mechanical planarization) 슬러리 평가)

  • Cha, Nam-Goo;Kang, Young-Jae;Kim, In-Kwon;Kim, Kyu-Chae;Park, Jin-Goo
    • Korean Journal of Materials Research
    • /
    • v.16 no.12
    • /
    • pp.731-738
    • /
    • 2006
  • It is seriously considered using Al CMP (chemical mechanical planarization) process for the next generation 45 nm Al wiring process. Al CMP is known that it has a possibility of reducing process time and steps comparing with conventional RIE (reactive ion etching) method. Also, it is more cost effective than Cu CMP and better electrical conductivity than W via process. In this study, we investigated 4 different kinds of slurries based on abrasives for reducing scratches which contributed to make defects in Al CMP. The abrasives used in this experiment were alumina, fumed silica, alkaline colloidal silica, and acidic colloidal silica. Al CMP process was conducted as functions of abrasive contents, $H_3PO_4$ contents and pressures to find out the optimized parameters and conditions. Al removal rates were slowed over 2 wt% of slurry contents in all types of slurries. The removal rates of alumina and fumed silica slurries were increased by phosphoric acid but acidic colloidal slurry was slightly increased at 2 vol% and soon decreased. The excessive addition of phosphoric acid affected the particle size distributions and increased scratches. Polishing pressure increased not only the removal rate but also the surface scratches. Acidic colloidal silica slurry showed the highest removal rate and the lowest roughness values among the 4 different slurry types.

Characteristic of Oxide CMP with the Various Temperatures of Silica Slurry (실리카 슬러리의 온도 변화에 따른 산화막의 CMP 특성)

  • Ko, Pil-Ju;Park, Sung-Woo;Kim, Nam-Hoon;Seo, Yong-Jin;Chang, Eui-Goo;Lee, Woo-Sun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.11a
    • /
    • pp.707-710
    • /
    • 2004
  • Chemical mechanical polishing (CMP) process has been widely used to planarize dielectric layers, which can be applied to the integrated circuits for sub-micron technology. Despite the increased use of CMP process, it is difficult to accomplish the global planarization of in the defect-free inter-level dielectrics (ILD). In this paper, we have investigated slurry properties and CMP performance of silicon dioxide (oxide) as a function of different temperature of slurry. Thermal effects on the silica slurry properties such as pH, particle size, conductivity and zeta potential were studied. Moreover, the relationship between the removal rate (RR) with WIWNU and slurry properties caused by changes of temperature were investigated. Therefore, the understanding of these temperature effects provides a foundation to optimize an oxide CMP Process for ULSI multi-level interconnection technology.

  • PDF

A Study on the effect of TEOS film by Dispel8ion Time and Content of $CeO_2$ Abrasive (DSS에서 $CeO_2$ 연마제의 첨가량과 분산시간이 TEOS 막에 미치는 특성연구)

  • Seo, Yong-Jin;Han, Sang-Jun;Park, Sung-Woo;Lee, Young-Kyun;Lee, Sung-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.487-487
    • /
    • 2009
  • One of the critical consumables in chemical mechanical polishing (CMP) is a specialized solution or slurry, which typically contains both abrasives and chemicals acting together to planarize films. In single abrasive slurry (SAS), the solid phase consists of only one type of abrasive particle. On the other hand, mixed abrasive slurry (MAS) consists of a mixture of at least two types of abrasive particles. In this paper, we have studied the CMP characteristics of mixed abrasive slurry (MAS) retreated by adding of $CeO_2$ abrasives within 1:10 diluted silica slurry (DSS). The slurry designed for optimal performance should produce reasonable removal rates, acceptable polishing selectivity with respect to the underlying layer, low surface defects after polishing, and good slurry stability. The modified abrasives in MAS are evaluated with respect to their particle size distribution, surface morphology, and CMP performances such as removal rate and non-uniformity. As an experimental result, we obtained the comparable slurry characteristics compared with original silica slurry in the viewpoint of high removal rate and low non-uniformity.

  • PDF

A Study on CMP Characteristics According to Shape of Colloidal Silica Particles (콜로이달 실리카 입자 형상에 따른 CMP 특성에 관한 연구)

  • Kim, Moonsung;Jeong, Haedo
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.38 no.9
    • /
    • pp.1037-1041
    • /
    • 2014
  • Slurry used for polishing semiconductors processed by exchange, pressurization, and multi-step feeding has been studied to investigate the effect of the size and shape of slurry particles on the oxide CMP removal rate. First, spherical silica sol was prepared by the ion exchange method. The spherical silica particle was used as a seed to grow non-spherical silica sol in accordance with the multi-step feeding of silicic acid by the ion exchange and pressurization methods. The oxide removal rate of both non-spherical silica sol and commercially available slurry were compared with increasing average particle size in the oxide CMP. The more alkaline the pH level of the non-spherical silica sol, the higher was the removal rate and non-uniformity.

Improvement of Mixed Abrasive Slurry (MAS) Characteristics According to the Abrasive Adding (연마제 첨가량에 따른 Mixed Abrasive Slurry (MAS)의 CMP 특성 고찰)

  • Lee, Sung-Il;Lee, Young-Kyun;Park, Sung-Woo;Lee, Woo-Sun;Seo, Yong-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2006.11a
    • /
    • pp.380-381
    • /
    • 2006
  • Chemical mechanical polishing (CMP) technology has been widely used for global planarization of multi-level interconnection for ULSI applications. However, the cost of ownership and cost of consumables are relatively high because of expensive slurry. In this paper, we studied the mixed abrasive slurry (MAS). In order to save the costs of slurry, the original silica slurry was diluted by de-ionized water (DIW). And then, $ZrO_2$, $CeO_2$, and $MnO_2$ abrasives were added in the diluted slurry in order to promote the mechanical force of diluted slurry. We have also investigate the possibility of mixed abrasive slurry for the oxide CMP application.

  • PDF

CMP properties of $SnO_2$ thin film by different slurry (슬러리 종류에 따른 $SnO_2$ 박막의 광역평탄화 특성)

  • Lee, Woo-Sun;Choi, Gwon-Woo;Ko, Pil-Ju;Kim, Wan-Tae;Seo, Yong-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.07a
    • /
    • pp.389-392
    • /
    • 2004
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. we investigated the performance of $SnO_2$-CMP process using commonly used silica slurry, ceria slurry, tungsten slurry. This study shows removal rate and non-uniformity of $SnO_2$ thin film used to gas sensor by using Ceria, Silica, W-Slurry after CMP process. This study also shows the relation between particle size and CMP with particle size analysis of used slurry.

  • PDF

Characteristics of 2-Step CMP (Chemical Mechanical Polishing) Process using Reused Slurry (재활용 슬러리를 사용한 2단계 CMP 특성)

  • Lee, Kyoung-Jin;Seo, Yong-Jin;Choi, Woon-Shik;Kim, Ki-Wook;Kim, Sang-Yong;Lee, Woo-Sun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2002.11a
    • /
    • pp.39-42
    • /
    • 2002
  • Recently, CMP (chemical mechanical polishing) technology has been widely used for global planarization of multi-level interconnection for ULSI applications. However, COO (cost of ownership) and COC (cost of consumables) were relatively increased because of expensive slurry. In this paper, we have studied the possibility of recycle of reused silica slurry in order to reduce the costs of CMP slurry. The post-CMP thickness and within-wafer non-uniformity(WIWNU) were measured as a function of different slurry composition. As a experimental result, the performance of reused slurry with annealed silica abrasive of 2 wt% contents was showed high removal rate and low non-uniformity. Therefore, we propose two-step CMP process as follows In the first-step CMP, we can polish the thick and rough film surface using remaked slurry, and then, in the second-step CMP, we can polish the thin film and fine pattern using original slurry. In summary, we can expect the saving of high costs of slurry.

  • PDF

Aging Effect on CMP slurry (CMP 실리카 슬러리 입도분석특성)

  • Lee, Woo-Sun;Ko, Pil-Ju;Seo, Yong-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.08a
    • /
    • pp.12-14
    • /
    • 2003
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP). process was required for the global planarization of inter-metal dielectric (IMD) layer with free-defect. However, as the IMD layer gets thinner, micro-scratches are becoming as major defects. Micro-scratches are generated by agglomerated slurry, solidified and attached slurry in pipe line of slurry supply system. It is well known that the presence of hard and larger size particles in the CMP slurries increases the defect density and surface roughness of the polished wafers. In this paper, we have studied. aging effect the of CMP slurry as a function of particle size. We prepared and compared the self-developed silica slurry by adding of abrasives before and after annealing. As our preliminary experiment results, we could be obtained the relatively stable slurry characteristics comparable to original silica slurry in the slurry aging effect.

  • PDF

CMP slurry aging effect by Particle Size analysis (입도 분석을 통한 CMP 슬러리 에이징 효과)

  • Shin, Jae-Wook;Lee, Woo-Sun;Choi, Kwon-Woo;Ko, Pil-Ju;Seo, Yong-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.05c
    • /
    • pp.37-40
    • /
    • 2003
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric (IMD) layer with free-defect. However, as the IMD layer gets thinner, micro-scratches are becoming as major defects. Micro-scratches are generated by agglomerated slurry, solidified and attached slurry in pipe line of slurry supply system. It is well known that the presence of hard and larger size particles in the CMP slurries increases the defect density and surface roughness of the polished wafers. In this paper, we have studied aging effect the of CMP slurry as a function of particle size. We prepared and compared the self-developed silica slurry by adding of abrasives before and after annealing. As our preliminary experiment results, we could be obtained the relatively stable slurry characteristics comparable to original silica slurry in the slurry aging effect.

  • PDF

CMP properties of $SnO_2$ thin film (가스센서 $SnO_2$ 박막의 광역평탄화 특성)

  • Choi, Gwon-Woo;Lee, Woo-Sun;Park, Jeng-Min;Choi, Seok-Jo;Park, Do-Sung;Kim, Nam-Oh
    • Proceedings of the KIEE Conference
    • /
    • 2004.07c
    • /
    • pp.1600-1604
    • /
    • 2004
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. we investigated the performance of $SnO_2$-CMP process using commonly used silica slurry, ceria slurry, tungsten slurry. This study shows removal rate and nonuniformity of $SnO_2$ thin film used to gas sensor by using Ceria, Silica, W-Slurry after CMP process. This study also shows the relation between partical size and CMP with partical size analysis of used slurry.

  • PDF