• Title/Summary/Keyword: plasma enhanced chemical vapor deposition

Search Result 615, Processing Time 0.027 seconds

The Study of Silicon Nitride Passivation Layer on OLED ($Si_3N_4$ 페시베이션 박막이 유기발광다이오드 소자에 주는 영향 연구)

  • Park, Il-Houng;Kim, Kwan-Do;Shin, Hoon-Kyu;Yoon, Jae-Kyoung;Yun, Won-Min;Kwon, Oh-Kwan
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.332-333
    • /
    • 2009
  • In this paper, we have deposited silicon nitride films by plasma-enhanced chemical vapor deposition (PECVD). For films deposited under optimized conditions, the mechanism of plasma-enhanced vapor deposition of silicon nitride is studied by varying process parameters such as rf power, gas ratio, and chamber pressure. It was demonstrated that organic light-emitting diode(OLEDs) were fabricated with the inorganic passivation layer processing. We have been studied the inorganic film encapsulation effect for organic light-emitting diodes (OLED). To evaluate the passivation layer, we have carried out the fabrication of OLEDs and investigate with luminescence and MOCON.

  • PDF

Characterization of In-Situ Film Thickness and Chamber Condition of Low-K PECVD Process with Impedance Analysis

  • Kim, Dae Kyoung;Jang, Hae-Gyu;Kim, Yong-Tae;Kim, Hoon-Bae;Chae, Hee-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.461-461
    • /
    • 2010
  • For a low dielectric constant inter-metal dielectric application, the low-k SiCOH film with a dielectric constant of 2.8-3.2 has been deposited by plasma-enhanced chemical vapor deposition with decamethylcyclopentasiloxane, cyclohexane, and helium which is carrier gas. In this work, we investigated chemical deposition rate, dielectric constant, characterization of plasma polymer films according to temperature(25C-200C) of substrate and change of component concentration. We measured impedance by using V-I prove during process. From experimental result, deposition rate decrease with increasing temperature. Through real time impedance analysis of chamber, we find corelation between film thickness and impedance by assuming equivalent circuit.

  • PDF

프라즈마 이용기술 (1)

  • 황기웅
    • 전기의세계
    • /
    • v.34 no.8
    • /
    • pp.470-475
    • /
    • 1985
  • 우주물질의 대부분이 플라즈마 상태에 있지마는 지구상에서는 천둥이 칠 때 생기는 방전이나 극지방에서 볼 수 있는 오로라와 같이 극히 일부분이 자연상태의 프라즈마로 존재한다. 지금까지 플라즈마의 이용은 그것이 내는 밝은 빛을 이용한 조명이나, 높은 열을 이용한 용접이나 절단이 고작이었으나, 최근에 들어 초고온, 고밀도 플라즈마를 이용한 핵융합 에너지 연구나 Free Electron Laser나 Gyrotron과 같이 새로운 Radiation Source로써 종래의 Source가 만들지 못하던 주파수 영역이나 Glow Discharge에서 생기는 저온 Plasma를 이용한 Plasma Etching PECVD(Plasma Enhanced CHemical Vapor Deposition), Plasma Ashing, Sputtering등은 VLSI의 제조에 필요불가결한 공정의 일부분이 되고 있다. 앞으로 수회에 걸쳐 본 기술해설란을 통하여 이들 Plasma의 이용 기술을 소개하고자 하며 본회에서는 그중에서 Plasma Etching에 대해서 그 원리와 기술상의 특징을 살펴보고자 한다.

  • PDF

Fabrication of Metal-insulator-metal Capacitors with SiNx Thin Films Deposited by Plasma-enhanced Chemical Vapor Deposition

  • Wang, Cong;Kim, Nam-Young
    • Transactions on Electrical and Electronic Materials
    • /
    • v.10 no.5
    • /
    • pp.147-151
    • /
    • 2009
  • For integrated passive device (IPD) applications, we have successfully developed and characterized metalinsulator-metal (MIM) capacitors with 2000 $\AA$ plasma-enhanced chemical vapor deposition (PECVD) silicon nitride which are deposited with the $SiH_4/NH_3$ gas mixing rate, working pressure, and RF power of PECVD at $250^{\circ}C$. Five PECVD process parameters are designed to lower the refractive index and lower the deposition rate of $Si_3N_4$ films for the high breakdown electric field. For the PECVD process condition of gas mixing rate (0.957), working pressure (0.9 Torr), and RF power (60 W), the atomic force microscopy (AFM) root mean square (RMS) value of about 2000 $\AA$ $Si_3N_4$ on the bottom metal is lowest at 0.862 nm and the breakdown electric field is highest at about 8.0 MV/cm with a capacitance density of 326.5 pF/$mm^2$. A pretreatment of metal electrodes is proposed, which can reduce the peeling of nitride in the harsh test environment of heat, pressure, and humidity.

The Effect of Barrier Layer on Thin-film Silicon Solar Cell Using Graphite Substrates (탄소 기판을 이용한 박막 실리콘 태양전지의 배리어 층 효과)

  • Cho, Young Joon;Lee, Dong Won;Cho, Jun Sik;Chang, Hyo Sik
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.29 no.8
    • /
    • pp.505-509
    • /
    • 2016
  • We have investigated the characteristics of amorphous silicon (a-Si) thin-film solar cell by inserting barrier layer. The conversion efficiency of a-Si thin-film solar cells on graphite substrate shows nearly zero because of the surface roughness of the graphite substrate. To enhance the performance of solar cells, the surface morphology of the back side were modified by changing the barrier layer on graphite. The surface roughness of graphite substrate with the barrier layer grown by plasma enhanced chemical vapor deposition (PECVD) reduced from ~2 um to ~75 nm. In this study, the combination of the barrier layer on graphite substrate is important to increase solar cell efficiency. We achieved ~ 7.8% cell efficiency for an a-Si thin-film solar cell on graphite substrate with SiNx/SiOx stack barrier layer.

Growth of Carbon Nanotubes by Microwave Plasma Enhanced Chemical Vapor Deposition (마이크로웨이브 플라즈마 화학기상증착법에 의한 탄소나노튜브의 성장특성)

  • Choi Sung-Hun;Lee Jae-Hyeoung
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.19 no.6
    • /
    • pp.501-506
    • /
    • 2006
  • Carbon nanotubes (CNTs) were grown with a microwave plasma enhanced chemical vapor deposition (MPECVD) method, which has been regarded as one of the most promising candidates for the synthesis of CNTs due to the vertical alignment, the low temperature and the large area growth. MPECVD used methane ($CH_4$) and hydrogen ($H_2$) gas for the growth of CNTs. 10 nm thick Ni catalytic layer were deposited on the Ti coated Si substrate by RF magnetron sputtering method. In this work, the pretreatment was that the Ni catalytic layer in different microwave power (600, 700, and 800 W). After that, CNTs deposited on different pressures (8, 12, 16, and 24 Torr) and grown same microwave power (800 W). SEM (Scanning electron microscopy) images showed Ni catalytic layer diameter and density variations were dependent with their pretreatment conditions. Raman spectroscopy of CNTs shows that $I_D/I_G$ ratios and G-peak positions vary with pretreatment conditions.

Characteristics on Silicon Oxynitride Stack Layer of ALD-Al2O3 Passivation Layer for c-Si Solar Cell (결정질 실리콘 태양전지 적용을 위한 ALD-Al2O3 패시베이션 막의 산화질화막 적층 특성)

  • Cho, Kuk-Hyun;Cho, Young Joon;Chang, Hyo Sik
    • Korean Journal of Materials Research
    • /
    • v.25 no.5
    • /
    • pp.233-237
    • /
    • 2015
  • Silicon oxynitride that can be deposited two times faster than general SiNx:H layer was applied to fabricate the passivation protection layer of atomic layer deposition (ALD) $Al_2O_3$. The protection layer is deposited by plasma-enhanced chemical vapor deposition to protect $Al_2O_3$ passivation layer from a high temperature metallization process for contact firing in screen-printed silicon solar cell. In this study, we studied passivation performance of ALD $Al_2O_3$ film as functions of process temperature and RF plasma effect in plasma-enhanced chemical vapor deposition system. $Al_2O_3$/SiON stacks coated at $400^{\circ}C$ showed higher lifetime values in the as-stacked state. In contrast, a high quality $Al_2O_3$/SiON stack was obtained with a plasma power of 400 W and a capping-deposition temperature of $200^{\circ}C$ after the firing process. The best lifetime was achieved with stack films fired at $850^{\circ}C$. These results demonstrated the potential of the $Al_2O_3/SiON$ passivated layer for crystalline silicon solar cells.

Fabrication and Characterization of a-Si:H Films by a Remote Plasma Enhanced CVD (Remote Plasma Enhanced CVD에 의한 수소화된 비정질 실리콘 박막의 제작 및 특성연구)

  • Yang, Young-Sik;Yoon, Yeer-Jean;Jang, Jin
    • Proceedings of the KIEE Conference
    • /
    • 1987.07a
    • /
    • pp.513-516
    • /
    • 1987
  • Hydrogenated amorphous silicon (a-Si:H) films have been deposited, for thye first time, by a remote plasma chemical vapor deposition. The hydrogen radical play a important role to control the deposition rate, The bonded hydrogen content to silicon is independent of hydrogen partial pressure in the plasma. Optical gap of deposited a-Si:H lies between 1.7eV and 1.8eV and all samples have sharp absorption edge. B-doped a-Si:H films by a RPECVD has a high doping efficiency compared with plasma CVD. The Fermi level of 100ppm B-doped film lies at 0.5eV above valence band edge.

  • PDF

Low Temperature Polycrystalline Silicon Deposition by Atmospheric Pressure Plasma Enhanced CVD Using Metal Foam Showerhead (다공성 금속 샤워헤드가 적용된 상압플라즈마 화학기상증착법을 이용한 저온 다결정 실리콘 증착 공정)

  • Park, Hyeong-Gyu;Song, Chang-Hoon;Oh, Hoon-Jung;Baik, Seung Jae
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.33 no.5
    • /
    • pp.344-349
    • /
    • 2020
  • Modern thin film deposition processes require high deposition rates, low costs, and high-quality films. Atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) meets these requirements. AP-PECVD causes little damage on thin film deposition surfaces compared to conventional PECVD. Moreover, a higher deposition rate is expected due to the surface heating effect of atomic hydrogens in AP-PECVD. In this study, polycrystalline silicon thin film was deposited at a low temperature of 100℃ and then AP-PECVD experiments were performed with various plasma powers and hydrogen gas flow rates. A deposition rate of 15.2 nm/s was obtained at the VHF power of 400 W. In addition, a metal foam showerhead was employed for uniform gas supply, which provided a significant improvement in the thickness uniformity.