• Title/Summary/Keyword: nano-mask

Search Result 116, Processing Time 0.026 seconds

Development of High Sensitive Integrated Dual Sensor to Detect Harmful Exhaust Gas and Odor for the Automotive (악취분별능력을 가진 자동차용 고기능 듀얼타입 집적형 유해가스 유입차단센서 개발)

  • Chung, Wan-Young;Shim, Chang-Hyun
    • Journal of Institute of Control, Robotics and Systems
    • /
    • v.13 no.7
    • /
    • pp.616-623
    • /
    • 2007
  • A dual micro gas sensor array was fabricated using nano sized $SnO_2$ thin films which had good sensitivities to CO and combustible gases, or $H_2S$ gas for air quality sensors in automobile. The already existed air quality sensor detects oxidizing gases and reducing gases, the air quality sensor(AQS), located near the fresh air inlet detected the harmful gases, the fresh air inlet door/ventilation flap was closed to reduce the amount of pollution entering the vehicle cabin through HVAC(heating, ventilating, and air conditioning) system. In this study, to make $SnO_2$ thin film AQS sensor, thin tin metal layer between 1000 and $2000{\AA}$ thick was oxidized between 600 and $800^{\circ}C$ by thermal oxidation. The gas sensing layers such as $SnO_2$, $SnO_2$(pt) and $SnO_2$(+CuO) were patterned by metal shadow mask for simple fabrication process on the silicon substrate. The micro gas sensors with $SnO_2$(+Pt) and $SnO_2$(CuO) showed good selectivity to CO gas among reducing gases and good sensitivity to $H_2S$ that is main component of bad odor, separately.

Multi-functional Micro/Nano Printing Process with ElectroSpray Deposition(ESD) (ESD를 이용한 다기능 미세 프린팅 공정)

  • Kim D.S.;Lee W.H.;Lim H.E.;Park Y.D.;Lee K.B.
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2006.05a
    • /
    • pp.597-598
    • /
    • 2006
  • In this study, we used the ESD method to prepare the protein microarrays for observation the stem cell responses to pattern size, space and shapes. The ESD method allows a reduction in spot size, high efficiency of substance transfer, and high rate in fabrication as a result of ability to simultaneously deposit thousands of identical spots. Typical electro spraying conditions for the deposition of proteins were a voltage of $3{\sim}5keV$ and the humidity under 30%. The patterns of masks have a variety of shapes, spaces, and hole sizes from 10 um to $300{\mu}m$. Three kinds of proteins(collagen, fibronectin, and vitronectin dissolved in PBS) are deposited in a dry state, preserving the functional activity of proteins. Stem cells were cultured on each protein patterned sample at $37^{\circ}C$ for 1day.

  • PDF

Role of gas flow rate during etching of hard-mask layer to extreme ultra-violet resist in dual-frequency capacitively coupled plasmas

  • Gwon, Bong-Su;Lee, Jeong-Hun;Lee, Nae-Eung
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.08a
    • /
    • pp.132-132
    • /
    • 2010
  • In the nano-scale Si processing, patterning processes based on multilevel resist structures becoming more critical due to continuously decreasing resist thickness and feature size. In particular, highly selective etching of the first dielectric layer with resist patterns are great importance. In this work, process window for the infinitely high etch selectivity of silicon oxynitride (SiON) layers and silicon nitride (Si3N4) with EUV resist was investigated during etching of SiON/EUV resist and Si3N4/EUV resist in a CH2F2/N2/Ar dual-frequency superimposed capacitive coupled plasma (DFS-CCP) by varying the process parameters, such as the CH2F2 and N2 flow ratio and low-frequency source power (PLF). It was found that the CH2F2/N2 flow ratio was found to play a critical role in determining the process window for ultra high etch selectivity, due to the differences in change of the degree of polymerization on SiON, Si3N4, and EUV resist. Control of N2 flow ratio gave the possibility of obtaining the ultra high etch selectivity by keeping the steady-state hydrofluorocarbon layer thickness thin on the SiON and Si3N4 surface due to effective formation of HCN etch by-products and, in turn, in continuous SiON and Si3N4 etching, while the hydrofluorocarbon layer is deposited on the EUV resist surface.

  • PDF

Growth of Triangular Shaped InGaAs/GaAs Quantum Wire Structure with Various Thicknesses in One Chip (여러 가지 높이를 갖는 삼각형 구조 InGaAs/GaAs 양자세선 구조 성장)

  • Kim Seong-Il;Kim Young-Whan;Han Il-Ki
    • Korean Journal of Materials Research
    • /
    • v.14 no.6
    • /
    • pp.399-401
    • /
    • 2004
  • InGaAs/GaAs quantum wire structures were grown by low pressure metalorganic chemical vapor deposition by using selective area epitaxy.$ In_{ 0.2}$$Ga_{0.8}$ As/GaAs quantum wire structures were grown on a $SiO_2$ masked GaAs substrate. Quantum wire structures with sharp tips and smooth side walls were grown. We have grown InGaAs/GaAs quantum wire structures using variously opened width of the $SiO _2$ mask. Even though the opening widths of $SiO_2$ masked GaAs substrate were different, similar shapes of triangular structures were grown. Using various kinds of differently opened $SiO_2$ masked area, it would be possible to grow quantum wire structures with various thicknesses. The quantum wire structures are formed near the pinnacle of the triangular structure. Therefore, the fabrication of the uniquely designed integrated optical devices which include light emitting sources of multiple wavelength is possible.

Manufacturing SiNx Extreme Ultraviolet Pellicle with HF Wet Etching Process (HF 습식 식각을 이용한 극자외선 노광 기술용 SiNx)

  • Kim, Ji Eun;Kim, Jung Hwan;Hong, Seongchul;Cho, HanKu;Ahn, Jinho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.14 no.3
    • /
    • pp.7-11
    • /
    • 2015
  • In order to protect the patterned mask from contamination during lithography process, pellicle has become a critical component for Extreme Ultraviolet (EUV) lithography technology. According to EUV pellicle requirements, the pellicle should have high EUV transmittance and robust mechanical property. In this study, silicon nitride, which is well-known for its remarkable mechanical property, was used as a pellicle membrane material to achieve high EUV transmittance. Since long silicon wet etching process time aggravates notching effect causing stress concentration on the edge or corner of etched structure, the remaining membrane is prone to fracture at the end of etch process. To overcome this notching effect and attain high transmittance, we began preparing a rather thick (200 nm) $SiN_x$ membrane which can be stably manufactured and was thinned into 43 nm thickness with HF wet etching process. The measured EUV transmittance shows similar values to the simulated result. Therefore, the result shows possibilities of HF thinning processes for $SiN_x$ EUV pellicle fabrication.

Mechanical Stability Evaluation of Thin Film with Spin-coater (스핀코터를 이용한 박막의 기계적 안정성 평가)

  • Kim, Ji Eun;Kim, Jung Hwan;Hong, Seongchul;Cho, HanKu;Ahn, Jinho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.15 no.1
    • /
    • pp.6-11
    • /
    • 2016
  • For high volume manufacturing using extreme ultraviolet (EUV) lithography, mask protection from contamination during lithography process must be solved, and EUV pellicle is the strongest solution. Based on the technical requirements of EUV pellicle, EUV pellicle should have large membrane area ($110{\times}140mm^2$) with film transmittance over 90% and mechanical stability. Even though pellicle that satisfies size standard with high transmittance has been reported, its mechanical stability has not been confirmed, nor is there a standard to evaluate the mechanical stability. In this study, we suggest a rather simple method evaluating mechanical stability of pellicle membrane using spin-coater which can emulate the linear accelerated motion. The test conditions were designed by simulating the acceleration distribution inside pellicle membrane through correlating the linear acceleration and centripetal acceleration, which occurs during linear movement and rotation movement, respectively. By these simulation results, we confirmed the possibility of using spin-coater to evaluate the mechanical stability of EUV pellicle.

Manufacturing Large-scale SiNx EUV Pellicle with Water Bath (물중탕을 이용한 대면적 SiNx EUV 펠리클 제작)

  • Kim, Jung Hwan;Hong, Seongchul;Cho, Hanku;Ahn, Jinho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.15 no.1
    • /
    • pp.17-21
    • /
    • 2016
  • EUV (Extreme Ultraviolet) pellicle which protects a mask from contamination became a critical issue for the application of EUV lithography to high-volume manufacturing. However, researches of EUV pellicle are still delayed due to no typical manufacturing methods for large-scale EUV pellicle. In this study, EUV pellicle membrane manufacturing method using not only KOH (potassium hydroxide) wet etching process but also a water bath was suggested for uniform etchant temperature distribution. KOH wet etching rates according to KOH solution concentration and solution temperature were confirmed and proper etch condition was selected. After KOH wet etching condition was set, $5cm{\times}5cm$ SiNx (silicon nitride) pellicle membrane with 80% EUV transmittance was successfully manufactured. Transmittance results showed the feasibility of wet etching method with water bath as a large-scale EUV pellicle manufacturing method.

Manufacture of Nano-Sized Ni-ferrite Powder from Waste Solution by Spray Pyrolysis Process (분무열분해 공정에 의한 폐액으로부터 니켈 페라이트 나노 분말 제조)

  • Yu Jae-Keun;Suh Sang-Kee;Kang Seong-Gu;Kim Jwa-Yeon;Park Si-Hyun;Park Yaung-Soo;Choi Jae-Ha;Sohn Jin-Gun
    • Resources Recycling
    • /
    • v.12 no.4
    • /
    • pp.20-29
    • /
    • 2003
  • In order to efficiently recycle the waste solution resulting from shadow mask processing, nano-sized Ni-ferrite powder was fab-ricated through spray pyrolysis process. The average particle size of the powder was below 100nm. In this study, the effects of the reaction temperature. the concentration of raw material solution and the injection speed of solution on the properties of powder were respectively investigated. As the reaction temperature increased from $800^{\circ}C$ to $1100^{\circ}C$, average particle size of the powder significantly Increased and power structure became more solid, whereat its specific surface area was greatly reduced. Formation rate and crystallization of($NiFe_2$$O_4$) phale increased along with the temperature rise. As the concentrations of iron and nickel components in wastere solution increased, particle size of the powder became larger, particle size distribution became more irregular, and specific surface area was reduced. Formation rate and crystallization of $NiFe_2$$O_4$ phase increased significantly along with the increase of the concentration of solution. As the inlet speed of solution increased, particle size of the powder became larger, particle size distribution became wider, specific surface area was reduced and powder structure became less solid. As the inlet speed of solution decreased, formation rate and crystallization of $NiFe_2$$O_4$ phase significantly increased.

Selective growth of GaN nanorods on the top of GaN stripes (GaN stripe 꼭지점 위의 GaN 나노로드의 선택적 성장)

  • Yu, Yeonsu;Lee, Junhyeong;Ahn, Hyungsoo;Shin, Kisam;He, Yincheng;Yang, Min
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.24 no.4
    • /
    • pp.145-150
    • /
    • 2014
  • GaN nanorods were grown on the apex of GaN stripes by three dimensional selective growth method. $SiO_2$ mask was partially removed only on the apex area of the GaN stripes by an optimized photolithography for the selective growth. Metallic Au was deposited only on the apex of the GaN stripes and a selective growth of GaN nanorods was followed by a metal organic vapor phase epitaxy (MOVPE). We confirmed that the shape and size of the GaN nanorods depend on growth temperature and flow rates of group III precursor. GaN nanorods were grown having a taper shape which have sharp tip and triangle-shaped cross section. From the TEM result, we confirmed that threading dislocations were rarely observed in GaN nanorods because of the very small contact area for the selective growth. Stacking faults which might be originated from a difference of the crystal facet directions between the GaN stripe and the GaN nanorods were observed in the center area of the GaN nanorods.

R.F. plasma assisted CVD로 합성한 BN, BCN 박막의 물성과 구조 연구

  • 김홍석;백영준;최인훈
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 1999.07a
    • /
    • pp.114-114
    • /
    • 1999
  • Boron nitride (BN)는 매우 뛰어난 물리적, 화학적 성질을 가지고 있는 재료로 많은 연구가 진행되고 있다. hexagonal 형태의 hBN의 경우 큰 전기 저항과 열 전도도를 가지고 있고 열적 안정성을 가지고 있어 반도체 소자에서 절연층으로 쓰일 수 있다. 또한 X-ray와 가시광선을 투과시키기 때문에 X-ray와 가시광선을 투과시키기 때문에 X-ray lithography이 mask 기판으로 사용될 수 있다. Boron-carbon-nitrogen (BCN) 역시 뛰어난 기계적 성질과 투명성을 가지고 있어 보호 코팅이나 X-ray lithography에 이용될 수 있다. 또한 원자 조성이나 구성을 변화시켜 band gap을 조절할 수 있는 가능성을 가지고 있기 때문에 전기, 광소자의 재료로 이용될 수 있다. 본 연구에서는 여러 합성 조건 변화에 따른 hBN 막의 합성 거동을 관찰하고, 카본 농도변화에 따른 BCN 막의 기계적 성질과 구조의 변화, 그리고 실리콘 첨가에 의한 물성 변화를 관찰하였다. BN박막은 실리콘 (100) 기판 위에 r.f. plasma assisted CVD를 이용하여 합성하였다. 합성 압력 0.015 torr, 원료 가스로 BCl3 1.5 sccm, NH3 6sccm을 Ar 15 sccm을 사용하여 기판 bias (-300~-700V)와 합성온도 (상온~50$0^{\circ}C$)를 변화시켜 BN막을 합성하였다. BCN 박막은 상온에서 기판 bias를 -700V로 고정시킨 후 CH4 공급량과 Ar 가스의 첨가 유무를 변화시켜 합성하였다. 또한 SiH4 가스를 이용하여 실리콘을 함유하는 Si-BCN 막을 합성하였다. 합성된 BN 막의 경우, 기판 bias와 합성 온도가 증가할수록 증착속도는 감소하는 경향을 보여 주었다. 기판 bias와 합성온도에 따른 구조 변화를 SEM과 Xray로 분석하였다. 상온에서 합성한 경우는 표면형상이 비정질 형태를 나타내었고, X-ray peak이 거의 관찰되지 않았다. 합성온도가 증가하게 되면 hBN (100) peak이 나타나게 되고 이것은 합성된 막이 turbostratic BN (tBN) 형태를 가지고 있다는 것을 나타낸다. 50$0^{\circ}C$의 합성 온도에서 기판 bias가 -300V에서 hBN (002) peak이 관찰되었고, -500, -700 V에서는 hBN (100) peak만이 관찰되었다. 따라서 고온에서의 큰 ion bombardment는 합성되는 막의 결정성을 저해하는 요소로 작용한다는 것을 확인 할 수 있었다. 합성된 BN 막은 ball on disk type의 tribometer를 이용하여 마모 거동을 관찰한 결과 대부분 1이상의 매우 큰 friction coefficient를 나타내었고, nano-indenter로 측정한 BN막의 hardness는 매우 soft한 막에서부터 10 GPa 정도 까지의 값을 나타내었고, nano-indenter로 측정한 BN 막의 hardness는 매우 soft한 막에서부터 10GPa 정도 까지의 값을 가지며 변하였다. 합성된 BCN, Si-BCN 막은 FT-IR, Raman, S-ray, TEM 분석을 통하여 그 구조와 합성된 상에 관하여 분석하였다. FT-IR 분석을 통해 B-N 결합과 C-N 결합을 확인할 수 있었고, Raman 분석을 통하여 DLC의 특성을 분석하였다. 마모 거동에서는 BCN 막의 경우 0.6~0.8 정도의 friction coefficient를 나타내었고 Si-BCN 막은 0.3이하의 낮은 friction coefficient를 나타내었다. Hardness는 carbon의 함유량과 Ar 가스의 첨가 유무에 따라 각각을 측정하였고 이것은 BN 막 보다 향상된 값을 나타내었다.

  • PDF