• Title/Summary/Keyword: low-power design

Search Result 3,542, Processing Time 0.031 seconds

Analysis of Electrical Characteristics of Shield Gate Power MOSFET for Low on Resistance (차폐형 게이트 구조를 갖는 전력 MOSFET의 전기적 특성 분석에 관한 연구)

  • Kang, Ey-Goo
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.30 no.2
    • /
    • pp.63-66
    • /
    • 2017
  • This research was about shielded trench gate power MOSFET for low voltage and high speed. We used T-CAD tool and carried out process and device simulation for exracting design and process parameters. The exracted parameters was used to design shieled and conventional trench gate power MOSFET. And The electrical characteristics of shieled and conventional trench gate power MOSFET were compared and analyzed for their power device applications. As a result of analyzing electrical characteristics, the recorded breakdown voltages of both devices were around 120 V. The electric distributions of shielded and conventional trench gate power MOSFET was different. But due to the low voltage level, the breakdown voltage was almost same. And the other hand, the threshold voltage characteristics of shielded trench gate power MOSFET was superior to convention trench gate power MOSFET. In terms of on resistance characteristics, we obtained optimal oxied thickness of $3{\mu}m$.

A Study on Optimal Clock Period Selection Algorithm for Low Power RTL Design (저전력 RTL 설계를 위한 최적 클럭 주기 선택 알고리듬에 관한 연구)

  • 최지영;변상준;김희석
    • Proceedings of the IEEK Conference
    • /
    • 2003.07b
    • /
    • pp.1157-1160
    • /
    • 2003
  • We proposed a study on optimal clock period selection algorithm for low power RTL design. The proposed algorithm use the way of maintaining the throughput by reducing supply voltage after improve the system performance in order to minimize the power consumption. In this paper, it select the low power to use pipeline in the transformation of architecture. Also, the algorithm is important the clock period selection in order to maximize the resource sharing. however, it execute the optimal clock period selection algorithm.

  • PDF

Design of a Low-Power Parallel Multiplier Using Low-Swing Technique (저 전압 스윙 기술을 이용한 저 전력 병렬 곱셈기 설계)

  • Kim, Jeong-Beom
    • The KIPS Transactions:PartA
    • /
    • v.14A no.3 s.107
    • /
    • pp.147-150
    • /
    • 2007
  • This paper describes a new low-swing inverter for low power consumption. To reduce a power consumption, an output voltage swing is in the range from 0 to VDD-2VTH. This can be done by the inverter structure that allow a full swing or a swing on its input terminal without leakage current. Using this low-swing voltage technology, we proposed a low-power 16$\times$16 bit parallel multiplier. The proposed circuits are designed with Samsung 0.35$\mu$m standard CMOS process at a 3.3V supply voltage. The validity and effectiveness are verified through the HSPICE simulation.. Compared to the previous works, this circuit can reduce the power consumption rate of 17.3% and the power-delay product of 16.5%.

A Low Power smartRF Transceiver Hardware Design For 2.4 GHz Applications

  • Kim, Jung-Won;Choi, Ung-Se
    • Journal of IKEEE
    • /
    • v.12 no.2
    • /
    • pp.75-80
    • /
    • 2008
  • There are many researches to reduce power consumption of battery-operated Transceiver for 2.4 GHz smartRF applications. However, components such as processor, memory and LCD based power managements reach the limit of reducing power consumption. To overcome the limit, this research proposes novel low-power Transceiver and transceiver Hardware Design. Experimental results in the real smartRF Transceiver show that the proposed methods can reduce power consumption additionally than component based power managements.

  • PDF

Introduction to Industrial Applications of Low Power Design Methodologies

  • Kim, Hyung-Ock;Lee, Bong-Hyun;Choi, Jung-Yon;Won, Hyo-Sig;Choi, Kyu-Myung;Kim, Hyun-Woo;Lee, Seung-Chul;Hwang, Seung-Ho
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.9 no.4
    • /
    • pp.240-248
    • /
    • 2009
  • Moore's law has driven silicon technology scale down aggressively, and it results in significant increase of leakage current on nano-meter scale CMOS. Especially, in mobile devices, leakage current has been one of designers' main concerns, and thus many studies have introduced low power methodologies. However, there are few studies to minimize implementation cost in the mixed use of the methodologies to the best of our knowledge. In this paper, we introduce industrial applications of low power design methodologies for the decrease of leakage current. We focus on the design cost reduction of power gating and reverse body bias when used together. Also, we present voltage scale as an alternative to reverse body bias. To sustain gate leakage current, we discuss the adoption of high-$\kappa$ metal gate, which cuts gate leakage current by a factor of 10 in 32 nm CMOS technology. A 45 nm mobile SoC is shown as the case study of the mixed use of low power methodologies.

Analysis, Design, Modeling, Simulation and Development of Single-Switch AC-DC Converters for Power Factor and Efficiency Improvement

  • Singh, Bhim;Chaturvedi, Ganesh Dutt
    • Journal of Power Electronics
    • /
    • v.8 no.1
    • /
    • pp.51-59
    • /
    • 2008
  • This paper addresses several issues concerning the analysis, design, modeling, simulation and development of single-phase, single-switch, power factor corrected AC-DC high frequency switching converter topologies with transformer isolation. A detailed analysis and design is presented for single-switch topologies, namely forward buck, flyback, Cuk, Sepic and Zeta buck-boost converters, with high frequency isolation for discontinuous conduction modes (DCM) of operation. With an awareness of modem design trends towards improved performance, these switching converters are designed for low power rating and low output voltage, typically 20.25W with 13.5V in DCM operation. Laboratory prototypes of the proposed single-switch converters in DCM operation are developed and test results are presented to validate the proposed design and developed model of the system.

Gated Clock-based Low-Power Technique based on RTL Synthesis (RTL 수준에서의 합성을 이용한 Gated Clock 기반의 Low-Power 기법)

  • Seo, Young-Ho;Park, Sung-Ho;Choi, Hyun-Joon;Kim, Dong-Wook
    • Journal of the Korea Institute of Information and Communication Engineering
    • /
    • v.12 no.3
    • /
    • pp.555-562
    • /
    • 2008
  • In this paper we proposed a practical low-power design technique using clock-gating in RTL. An efficient low-power methodology is that a high-level designer analyzes a generic system and designs a controller for clock-gating. Also the desirable flow is to derive clock-gating in normal synthesis process by synthesis tool than to insert directly gate to clock line. If low-power is considered in coding process, clock is gated in coding process. If not considered, after analyzing entire operation. clock is Bated in periods of holding data. After analyzing operation for clock-gating, a controller was designed for it, and then a low-power circuit was generated by synthesis tool. From result, we identified that the consumed power of register decreased from 922mW to 543mW, that is the decrease rate is 42%. In case of synthesizing the test circuit using synthesizer of Power Theater, it decreased from 322mW to 208mW (36.5% decrease).

Low Power Design of Filter Based Face Detection Hardware (필터방식 얼굴검출 하드웨어의 저전력 설계)

  • Kim, Yoon-Gu;Jeong, Yong-Jin
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.45 no.6
    • /
    • pp.89-95
    • /
    • 2008
  • In this paper, we designed a low power face detection hardware and analysed its power consumption. The face detection hardware was fabricated using Samsung 0.18um CMOS technology and it can detect multiple face locations from a 2-D image. The hardware is composed of 6 functional modules and 11 internal memories. We introduced two operating modes(SLEEP and ACTIVE) to save power and a clock gating technique was used at two different levels: modules and registers. In additional, we divided an internal memory into several pieces to reduce the energy consumed when accessing memories, and fully utilized low power design option provided in Synopsis Design Compiler. As a result, we could obtain 68% power reduction in ACTIVE mode compared to the original design in which none of the above low power techniques were used.

Design and Fabrication of Low Power Sensor Network Platform for Ubiquitous Health Care

  • Lee, Young-Dong;Jeong, Do-Un;Chung, Wan-Young
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 2005.06a
    • /
    • pp.1826-1829
    • /
    • 2005
  • Recent advancement in wireless communications and electronics has enabled the development of low power sensor network. Wireless sensor network are often used in remote monitoring control applications, health care, security and environmental monitoring. Wireless sensor networks are an emerging technology consisting of small, low-power, and low-cost devices that integrate limited computation, sensing, and radio communication capabilities. Sensor network platform for health care has been designed, fabricated and tested. This system consists of an embedded micro-controller, Radio Frequency (RF) transceiver, power management, I/O expansion, and serial communication (RS-232). The hardware platform uses Atmel ATmega128L 8-bit ultra low power RISC processor with 128KB flash memory as the program memory and 4KB SRAM as the data memory. The radio transceiver (Chipcon CC1000) operates in the ISM band at 433MHz or 916MHz with a maximum data rate of 76.8kbps. Also, the indoor radio range is approximately 20-30m. When many sensors have to communicate with the controller, standard communication interfaces such as Serial Peripheral Interface (SPI) or Integrated Circuit ($I^{2}C$) allow sharing a single communication bus. With its low power, the smallest and low cost design, the wireless sensor network system and wireless sensing electronics to collect health-related information of human vitality and main physiological parameters (ECG, Temperature, Perspiration, Blood Pressure and some more vitality parameters, etc.)

  • PDF

A design of a low power mobile multimedia system architecture (저전력 모바일 멀티미디어 시스템 구조 설계에 관한 연구)

  • Lee, Eun-Seo;Lee, Jae-Sik;Kim, Byung-Il;Chang, Tae-Gyu
    • Proceedings of the KIEE Conference
    • /
    • 2005.10b
    • /
    • pp.231-233
    • /
    • 2005
  • For the low-power design of the mobile multimedia system architecture, this paper modeling the mobile multimedia system and analysis the power consumption profile about the whole communication environment. The mobile system model consist of air interface, RIP front-end, base-band processing module and human interface. For the result of power consumption profile analysis, the power consumption of multimedia processing is above 60% compare to the whole power consumption in mobile multimedia system. To minimize the power consumption in processing module which consumes the large power, this paper proposed the Microscopic DVS technique which applies the optimum voltage for the each multimedia frame. For the simulation result, proposed power minimization technique reduce the power consumption about 30%.

  • PDF