• 제목/요약/키워드: in situ detection

검색결과 313건 처리시간 0.027초

APPLICATION OF MONITORING, DIAGNOSIS, AND PROGNOSIS IN THERMAL PERFORMANCE ANALYSIS FOR NUCLEAR POWER PLANTS

  • Kim, Hyeonmin;Na, Man Gyun;Heo, Gyunyoung
    • Nuclear Engineering and Technology
    • /
    • 제46권6호
    • /
    • pp.737-752
    • /
    • 2014
  • As condition-based maintenance (CBM) has risen as a new trend, there has been an active movement to apply information technology for effective implementation of CBM in power plants. This motivation is widespread in operations and maintenance, including monitoring, diagnosis, prognosis, and decision-making on asset management. Thermal efficiency analysis in nuclear power plants (NPPs) is a longstanding concern being updated with new methodologies in an advanced IT environment. It is also a prominent way to differentiate competitiveness in terms of operations and maintenance costs. Although thermal performance tests implemented using industrial codes and standards can provide officially trustworthy results, they are essentially resource-consuming and maybe even a hind-sighted technique rather than a foresighted one, considering their periodicity. Therefore, if more accurate performance monitoring can be achieved using advanced data analysis techniques, we can expect more optimized operations and maintenance. This paper proposes a framework and describes associated methodologies for in-situ thermal performance analysis, which differs from conventional performance monitoring. The methodologies are effective for monitoring, diagnosis, and prognosis in pursuit of CBM. Our enabling techniques cover the intelligent removal of random and systematic errors, deviation detection between a best condition and a currently measured condition, degradation diagnosis using a structured knowledge base, and prognosis for decision-making about maintenance tasks. We also discuss how our new methods can be incorporated with existing performance tests. We provide guidance and directions for developers and end-users interested in in-situ thermal performance management, particularly in NPPs with large steam turbines.

In Situ Hybridization에 의한 돼지 유행성설사증 (Porcine Epidemic Diarrhea)의 진단 (Rapid and Easy Detection of Porcine Epidemic Diarrhea Virus (PEDV) by in situ Hybridization)

  • 박남용;조호성;김태주;박영석
    • 대한수의학회지
    • /
    • 제43권3호
    • /
    • pp.477-483
    • /
    • 2003
  • Molecular diagnostic techniques have been used to identify porcine epidemic diarrhea virus (PEDV), a causative agent of acute enteritis in swine, but they were difficult to be petformed and time-consuming. To detect PEDV in a rapid and easy way, we developed biotinylated cDNA probe for N gene encoding the nucleoproteins of PEDV. Formalin-fixed and paraffin-embedded tissues from 24 naturally infected pigs were used for the experiment. The ISH produced a positive reaction in all cases. When intestinal tissues were hybridized with PEDV probe, strong signals were seen in the villus enterocytes of the jejunum and ileum. Hybridization signals were also found in the duodenum from one pig and in colon from dnother. In conclusion, ISH with a biotinylated cDNA probe was provided to be a useful diagnostic method for detecting PEDV effectively in routinely processed tissue sections.

Discrimination of Bacillus anthracis Spores by Direct in-situ Analysis of Matrix-Assisted Laser Desorption/Ionization Time-Of-Flight Mass Spectrometry

  • Jeong, Young-Su;Lee, Jonghee;Kim, Seong-Joo
    • Bulletin of the Korean Chemical Society
    • /
    • 제34권9호
    • /
    • pp.2635-2639
    • /
    • 2013
  • The rapid and accurate identification of biological agents is a critical step in the case of bio-terror and biological warfare attacks. Recently, matrix-assisted laser desorption/ionization time-of-flight mass spectrometry has been widely used for the identification of microorganisms. In this study, we describe a method for the rapid and accurate discrimination of Bacillus anthracis spores using MALDI-TOF MS. Our direct in-situ analysis of MALDI-TOF MS does not involve subsequent high-resolution mass analyses and sample preparation steps. This method allowed the detection of species-specific biomarkers from each Bacillus spores. Especially, B. anthracis spores had specific biomarker peaks at 2503, 3089, 3376, 6684, 6698, 6753, and 6840 m/z. Cluster and PCA analyses of the mass spectra of Bacillus spores revealed distinctively separated clusters and within-groups similarity. Therefore, we believe that this method is effective in the real-time identification of biological warfare agents such as B. anthracis as well as other microorganisms in the field.

Actinometric Investigation of In-Situ Optical Emission Spectroscopy Data in SiO2 Plasma Etch

  • Kim, Boom-Soo;Hong, Sang-Jeen
    • Transactions on Electrical and Electronic Materials
    • /
    • 제13권3호
    • /
    • pp.139-143
    • /
    • 2012
  • Optical emission spectroscopy (OES) is often used for real-time analysis of the plasma processes. OES has been suggested as a primary plasma process monitoring tool. It has the advantage of non-invasive in-situ monitoring capability but selecting the proper wavelengths for the analysis of OES data generally relies on empirically established methods. In this paper, we propose a practical method for the selection of OES wavelength peaks for the analysis of plasma etch process and this is done by investigating reactants and by-product gas species that reside in the plasma etch chamber. Wavelength selection criteria are based on the standard deviation and correlation coefficients. Moreover, chemical actinometry is employed for the normalization of the selected wavelengths. We also present the importance of chemical actinometry of OES data for quantitative analysis of plasma. Then, the suggested OES peak selection method is employed.. This method is used to find out the reason behind abnormal etching of PR erosion during a series of $SiO_2$ etch processes using the same recipe. From the experimental verification, we convinced that OES is not only capable for real-time detection of abnormal plasma process but it is also useful for the analysis of suspicious plasma behavior.

Heme Oxygenase Expression in Skin of Hairless Mouse Using Ultraviolet A (320-400 nm) Radiation as an Inducer

  • Munif Allanson;Reeve, Vivienne-E
    • Journal of Photoscience
    • /
    • 제9권3호
    • /
    • pp.33-36
    • /
    • 2002
  • This study describes RT-PCR and in situ hybridisation protocols, and the immunohistochemical detection method that we have developed to detect and localise cells that express HO-1 in the skin. We found that HO-1 mRNA was absent in normal mouse skin, but after UVA irradiation HO-1 mRNA was expressed in the dermal fibroblasts, and strongly in basal epidermal cells. HO-1 protein was also induced strongly in dermal fibroblasts, and also in epidermal cells. In addition, the HO substrate heme was reduced in skin microsome at 72 hrs post UVA (when HO activity is high). At the same time, the HO products bilirubin and iron levels were elevated in the cutaneous tissue. Thus in addition to a dermal response, there appears to be an epidermal HO response to UVA in vivo that may be relevant for immune modulation by UVA radiation.

  • PDF

Damage Detection in Fiber Reinforced Composites Containing Electrically Conductive Phases

  • Shin, Soon-Gi;Hideaki Matsubara
    • The Korean Journal of Ceramics
    • /
    • 제6권3호
    • /
    • pp.201-205
    • /
    • 2000
  • Fiber reinforced plastic (FRP) composites and ceramic matrix composites (CMC) which contain electrically conductive phases have been designed and fabricated to introduce the detection capability of damage/fracture detection into these materials. The composites were made electrically conductive by adding carbon and TiN particles into FRP and CMC, respectively. The resistance of the conductive FRP containing carbon particles showed almost linear response to strain and high sensitivity over a wide range of strains. After each load-unload cycle the FRP retained a residual resistance, which increased with applied maximum stress or strain. The FRP with carbon particles embedded in cement (mortar) specimens enabled micro-crack formation and propagation in the mortar to be detected in situ. The CMC materials exhibited not only sensitive response to the applied strain but also an increase in resistance with increasing number of load-unload cycles during cyclic load testing. These results show that it is possible to use these composites to detect and/or fracture in structural materials, which are required to monitor the healthiness or safety in industrial applications and public constructions.

  • PDF

장내 관류된 동물에서 Polyethylene Glycols에 의한 장내 투과율 (Intestinal Permeability)측정에 관한 연구 (Intestinal Permeabilities of Polyethylene Glycols (330-1122D) in the In Situ Perfused Rat)

  • 김미혜
    • Journal of Nutrition and Health
    • /
    • 제29권2호
    • /
    • pp.153-158
    • /
    • 1996
  • Polyethylene glycols(PEGs)are hydrophilic molecules that have been used to characterize intestinal permeability via the paracellular pathway. Using a mixture of PEGs(400, 600 and 1000), containing oligomers in the molecular weight range 330 to 1122 D, the molecular weight permeability dependence in the jejunum of the rat small intestine was examined, employing an in situ recirculation perfusion technique. Individual oligomers were determined by HPLC with refractive detection. In the range studied, a distinct molecular weight cut-off was not apparent. Corrected for the length of jejunum used in the study, over the molecular weight range 330 to 1122D, the apparent permeability(Papp) of PEG ranged from 4.92$\pm$0.02$\times$10-5cm/sec(mean$\pm$SEM, n=5) to 0.28$\times$10-5cm/sec. Also, it was observed that the apparent permeability was inversely proportional to approximately MW2. The results in this study suggest that molecular weight is an important factor in determining the intestinal permeability.

  • PDF

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

Compound Explosives Detection and Component Analysis via Terahertz Time-Domain Spectroscopy

  • Choi, Jindoo;Ryu, Sung Yoon;Kwon, Won Sik;Kim, Kyung-Soo;Kim, Soohyun
    • Journal of the Optical Society of Korea
    • /
    • 제17권5호
    • /
    • pp.454-460
    • /
    • 2013
  • We present qualitative and quantitative component analyses on compound explosives via Terahertz time-domain spectroscopy (THz-TDS) based on a combination of wavelet thresholding and wavelength selection. Despite its importance, the field of signal processing of THz signals of compound plastic explosives is relatively unexplored. In this paper, experiment results from explosives Composition B-3 and Pentolite are newly presented, suggesting a novel signal processing procedure for in situ compound explosives detection. The proposed signal processing method demonstrates effective component analysis even in noisy and humid environments, showing significant decrease in component concentration percentage error of approximately 22.7% for Composition B-3 and 48.8% for Pentolite.

PECVD Chamber Cleaning End Point Detection (EPD) Using Optical Emission Spectroscopy Data

  • Lee, Ho Jae;Seo, Dongsun;Hong, Sang Jeen;May, Gary S.
    • Transactions on Electrical and Electronic Materials
    • /
    • 제14권5호
    • /
    • pp.254-257
    • /
    • 2013
  • In-situ optical emission spectroscopy (OES) is employed for PECVD chamber monitoring. OES is used as an addon sensor to monitoring and cleaning end point detection (EPD). On monitoring plasma chemistry using OES, the process gas and by-product gas are simultaneously monitored. Principal component analysis (PCA) enhances the capability of end point detection using OES data. Through chamber cleaning monitoring using OES, cleaning time is reduced by 53%, in general. Therefore, the gas usage of fluorine is also reduced, so satisfying Green Fab challenge in semiconductor manufacturing.