• Title/Summary/Keyword: ics structure

Search Result 63, Processing Time 0.019 seconds

Sliding Mode Controller with Enhanced Performance Using Time-Varying Surface and Fuzzy Logic

  • Park, Chang-Woo;Park, Soon-Hyung;Park, Mignon
    • Proceedings of the Korean Institute of Intelligent Systems Conference
    • /
    • 2000.05a
    • /
    • pp.51-54
    • /
    • 2000
  • In variable structure control algorithm, sliding mode makes the closed loop system insensitive to modelling uncertainties and external disturbances. However due to imperfections in switching, the system trajectory chatters, which is very undesirable. And the insensitivity property of a sliding mode controller is present only when the system is in the sliding mode. To overcome these shortcomings, in this paper, new sliding mode control algorithm using time-varying sliding surface and fuzzy PI structrue is proposed.

  • PDF

Structure Optimization of ESD Diodes for Input Protection of CMOS RF ICs

  • Choi, Jin-Young
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.17 no.3
    • /
    • pp.401-410
    • /
    • 2017
  • In this work, we show that the excessive lattice heating problem due to parasitic pnp transistor action in the diode electrostatic discharge (ESD) protection device in the diode input protection circuit, which is favorably used in CMOS RF ICs, can be solved by adopting a symmetrical cathode structure. To explain how the recipe works, we construct an equivalent circuit for input human-body model (HBM) test environment of a CMOS chip equipped with the diode protection circuit, and execute mixed-mode transient simulations utilizing a 2-dimensional device simulator. We attempt an in-depth comparison study by varying device structures to suggest valuable design guidelines in designing the protection diodes connected to the $V_{DD}$ and $V_{SS}$ buses. Even though this work is based on mixed-mode simulations utilizing device and circuit simulators, the analysis given in this work clearly explain the mechanism involved, which cannot be done by measurements.

800MHz Band Dual-fed ICS Repeater Antenna with High Isolation (800MHz 대역 고격리 이중급전 ICS 중계기 안테나)

  • Ko, Jin-Hyun;Kim, Gun-Kyun;Rhee, Seung-Yeop;Lee, Jong-Ig
    • Journal of the Korea Institute of Information and Communication Engineering
    • /
    • v.20 no.5
    • /
    • pp.867-873
    • /
    • 2016
  • Even if ICS(Interference Cancellation System) repeater is used in wireless communication system, it has the disadvantage that it must have enough distance between Donor and Service antenna to be isolated. In this paper, new ICS repeater integrated antenna with high insolation characteristics is designed. The proposed antenna is fabricated for 800MHz and measured. Bandwidth and gain are optimized by changing the stub lengths near main patch and power divider, and also by changing the size of parasitic patch. The fabricated antenna has a return loss less than -13 dB, a gain over 3 dBi, and an isolation between the donor and the server antennas less than -56 dB from 824~894 MHz for CDMA mobile communication. Therefore, the proposed antenna structure can be applied to eliminate the shadow area and to expand the coverage area for any other wireless communication bands.

CDMA Band Dual-fed ICS Repeater Antenna with High Isolation (CDMA 대역 고격리 이중급전 ICS 중계기 안테나)

  • Kim, GunKyun;Lee, Jong-Ig;Ko, Jin-hyun;Rhee, Seung-Yeop
    • Proceedings of the Korean Institute of Information and Commucation Sciences Conference
    • /
    • 2016.05a
    • /
    • pp.75-76
    • /
    • 2016
  • Even if ICS(Interference Cancellation System) repeater is used in wireless communication system, it has the disadvantage that it must have enough distance between Donor and Service antenna to be isolated. In this paper, new ICS repeater integrated antenna with high insolation characteristics is designed. The proposed antenna is fabricated for 800MHz and measured. Bandwidth and gain are optimized by changing the stub lengths near main patch and power divider, and also by changing the size of parasitic patch. This antenna has a return loss less than -13 dB, a gain over 3 dBi, and an isolation between the donor and the server antennas less than -56 dB from 824~894 MHz for CDMA mobile communication. Therefore, the proposed antenna structure can be applied to eliminate the shadow area and to expand the coverage area for any other wireless communication bands.

  • PDF

PLL Control Method for Precise Speed Control of Slotless PM Brushless DC Motor Using 2 Hall-ICs (2 Hall-ICs를 이용한 Slotless PM Brushless DC Motor의 정밀속도제어를 위한 PLL 제어방식)

  • Yoon Y.H;Lee S.J;Kim Y.R;Won C.Y;Choe Y.Y
    • The Transactions of the Korean Institute of Power Electronics
    • /
    • v.10 no.2
    • /
    • pp.109-116
    • /
    • 2005
  • The high performance drives of the slotless Permanent Magnet Brushless DC(PM BLDC) motor can be achieved by the current control, where the currents flow according to the rotor position and the current phase is suitably controlled according to the operational condition. Rotor position information can be provided by Hall-IC or sensorless algorithm. So, the Hall-ICs are set up in this motor to detect the main flux from the rotor. Instead of using three Hall-ICs and encoder, this paper uses only two Hall-ICs for the permanent magnet rotor position and the speed feedback signals, and uses a micro-controller of 16-bit type (80C196KC). Also because of low resolution obtained by using Hall-IC even low-cost and simple structure, to improve the wide range of speed response characteristic more exactly, we propose the rotor position signal synthesizer using PLL circuit based on two Hall-ICs.

Electromagnetic Structure Design Study of Fault-Tolerant Interior Permanent Magnet Machines for Electric Vehicles Using Harmonic Order Shaping

  • Liu, Guohai;Zeng, Yu;Zhao, Wenxiang;Chen, Qian
    • Journal of Magnetics
    • /
    • v.21 no.4
    • /
    • pp.561-569
    • /
    • 2016
  • Although pretty methods have been proposed to reduce torque ripple, they generally suffer from the decreased torque density. This paper will investigate the spoke-type interior permanent magnet (IPM) machine with shaping methods, including the sinusoidal (SIN), the inverse cosine (ICS), the sinusoidal with third harmonic (SIN+3rd), and the inverse cosine with third harmonic (ICS+3rd). In order to obtain low torque ripple and high torque density, the shaping method applied in rotor and stator at the same time, termed as the dual-shaping method, is proposed. This method is analytically derived and further confirmed by finite element method (FEM). It turns out that the ICS and ICS+3rd shaping methods are more suitable for outer rotors, while the SIN and the SIN+3rd shaping method should be used in inner stators. The original machine, the singular shaped machines and the dual-shaped machines on electromagnetic performances are compared for evaluation. The results verify that the dual-shaping method can improve torque density, whilst reducing torque ripple.

An Efficient Scheme for TDD LTE ICS Exploiting the Reciprocity of the Feedback Channel in TDD LTE Networks (TDD LTE 네트워크에서 궤환 채널의 Reciprocity를 이용한 효율적인 TDD LTE ICS 구조 설계)

  • Kim, Hyunchae;Im, Sungbin
    • Journal of the Institute of Electronics and Information Engineers
    • /
    • v.53 no.2
    • /
    • pp.17-23
    • /
    • 2016
  • It is essential to develop an estimation and cancellation algorithm for feedback signals in designing the interference cancellation systems (ICS) as a solution to extending the coverage of the TDD LTE networks. Unlike the radio access of FDD LTE networks, TDD networks utilize the same frequency band in downlink and uplink for radio access. For this reason, downlink and uplink are repeated in time and thus the estimation of the feedback signals in uplink and downlink, respectively, is periodically paused to cause performance degradation. In this study, the reciprocity of downlink and uplink is examined through experiments and exploiting the same frequency band in uplink and downlink, an efficient channel estimation and elimination algorithm is proposed since the algorithm utilizes the same structure for both downlink and uplink.

A Radiation-hardened Model Design of CMOS Digital Logic Circuit for Nuclear Power Plant IC and its Total Radiation Damage Analysis (원전용 IC를 위한 CMOS 디지털 논리회로의 내방사선 모델 설계 및 누적방사선 손상 분석)

  • Lee, Min-Woong;Lee, Nam-Ho;Kim, Jong-Yeol;Cho, Seong-Ik
    • The Transactions of The Korean Institute of Electrical Engineers
    • /
    • v.67 no.6
    • /
    • pp.745-752
    • /
    • 2018
  • ICs(Integrated circuits) for nuclear power plant exposed to radiation environment occur malfunctions and data errors by the TID(Total ionizing dose) effects among radiation-damage phenomenons. In order to protect ICs from the TID effects, this paper proposes a radiation-hardening of the logic circuit(D-latch) which used for the data synchronization and the clock division in the ICs design. The radiation-hardening technology in the logic device(NAND) that constitutes the proposed RH(Radiation-hardened) D-latch is structurally more advantageous than the conventional technologies in that it keeps the device characteristics of the commercial process. Because of this, the unit cell based design of the RH logic device is possible, which makes it easier to design RH ICs, including digital logic circuits, and reduce the time and cost required in RH circuit design. In this paper, we design and modeling the structure of RH D-latch based on commercial $0.35{\mu}m$ CMOS process using Silvaco's TCAD 3D tool. As a result of verifying the radiation characteristics by applying the radiation-damage M&S (Modeling&Simulation) technique, we have confirmed the radiation-damage of the standard D-latch and the RH performance of the proposed D-latch by the TID effects.

Optimization of Power Bumps and TSVs with Optimized Power Mesh Structure for Power Delivery Network in 3D-ICs (3D-IC 전력 공급 네트워크를 위한 최적의 전력 메시 구조를 사용한 전력 범프와 TSV 최소화)

  • Ahn, Byung-Gyu;Kim, Jae-Hwan;Jang, Cheol-Jon;Chong, Jong-Wha
    • Journal of IKEEE
    • /
    • v.16 no.2
    • /
    • pp.102-108
    • /
    • 2012
  • 3-dimensional integrated circuits (3D-ICs) have some problems for power delivery network design due to larger supply currents and larger power delivery paths compared to 2D-IC. The power delivery network consists of power bumps & through-silicon-vias (TSVs), and IR-drop at each node varies with the number and location of power bumps & TSVs. It is important to optimize the power bumps & TSVs while IR-drop constraint is satisfied in order to operate chip ordinarily. In this paper, the power bumps & TSVs optimization with optimized power mesh structure for power delivery network in 3D-ICs is proposed.

The Design of Smart Antenna Structures for RF Repeater (이동통신 중계기용 스마트 안테나 구조 설계)

  • Cho, Dae-Young;Kim, Kye-Won;Lee, Seung-Goo;Kim, Min-Sang;Kim, Kil-Yung;Park, Byeong-Hoon;Ko, Hak-Lim
    • Journal of the Institute of Convergence Signal Processing
    • /
    • v.14 no.2
    • /
    • pp.110-116
    • /
    • 2013
  • The amplification rate of a RF repeater is limited by the feedbacked signals from the same repeater. And an ICS (Interference Cancellation System) repeater has been developed to remove the feedbacked signals. The ICS repeater estimates the amplitudes and the phases of the feedbacked signals and removes the estimated feedback signals from the received input signal of the repeater. However, it requires lots of hardware complexity and this leads to the increase the cost of the repeater. Moreover, the ICS repeater can not solve the pilot pollution problems. To solve these problems, we have studied the implementation and adaptation of smart antenna system for RF repeaters. We have designed a smart antenna system with a switching beam structure in order to reduce the hardware and computational complexity. After analyzing the proposed smart antenna system, we found out that the amplification rate of the proposed repeater increases 23dB compare to the amplification rate of ICS repeater and the output SINR increases 6dB compare to the ICS repeater.