• Title/Summary/Keyword: global planarization

Search Result 86, Processing Time 0.024 seconds

A Global Planarization of Interlayer Dielectric Using Chemical Mechanical Polishing for ULSI Chip Fabrication (화학기계적폴리싱(CMP)에 의한 층간절연막의 광역평탄화에 관한 연구)

  • Jeong, Hea-do
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.13 no.11
    • /
    • pp.46-56
    • /
    • 1996
  • Planarization technique is rapidly recognized as a critical step in chip fabrication due to the increase in wiring density and the trend towards a three dimensional structure. Global planarity requires the preferential removal of the projecting features. Also, the several materials i.e. Si semiconductor, oxide dielectric and sluminum interconnect on the chip, should be removed simultaneously in order to produce a planar surface. This research has investihgated the development of the chemical mechanical polishing(CMP) machine with uniform pressure and velocity mechanism, and the pad insensitive to pattern topography named hard grooved(HG) pad for global planarization. Finally, a successful result of uniformity less than 5% standard deviation in residual oxide film and planarity less than 15nm in residual step height of 4 inch device wafer, is achieved.

  • PDF

Optimization of Double Polishing Pad for STI-CMP Applications (STI-CMP 적용을 위한 이중 연마 패드의 최적화)

  • Park, Seong-U;Seo, Yong-Jin;Kim, Sang-Yong
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.51 no.7
    • /
    • pp.311-315
    • /
    • 2002
  • Chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD), inter-level dielectric (ILD) layers of multi-layer interconnections. In this paper, we studied the characteristics of polishing pad, which can apply shallow trench isolation (STI)-CMP process for global planarization of multi-level interconnection structure. Also, we investigated the effects of different sets of polishing pad, such as soft and hard pad. As an experimental result, hard pad showed center-fast type, and soft pad showed edge-fast type. Totally, the defect level has shown little difference, however, the counts of scratch was detected less than 2 on JR111 pad. Through the above results, we can select optimum polishing pad, so we can expect the improvements of throughput and device yield.

A Study for Global Planarization of Mutilevel Metal by CMP (Chemical Mechanical Polishing (CMP) 공정을 이용한 Mutilevel Metal 구조의 광역 평탄화에 관한 연구)

  • 김상용;서용진;김태형;이우선;김창일;장의구
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.11 no.12
    • /
    • pp.1084-1090
    • /
    • 1998
  • As device sizes are scaled down to submicron dimensions, planarization technology becomes increasingly important for both device fabrication and formation of multilevel interconnects. Chemical mechanical polishing (CMP) has emerged recently as a new processing technique for achieving a high degree of planarization for submicron VLSI applications. The polishing process has many variables, and most of which are not well understood. The factors determine the planarization performance are slurry and pad type, insert material, conditioning technique, and choice of polishing tool. Circuit density, pattern size, and wiring layout also affect the performance of a CMP planarization process. This paper presents the results of studies on CMP process window characterization for 0.35 micron process with 5 metal layers.

  • PDF

A study on the global planarization characteristics in end point stage for device wafers (다바이스 웨이퍼의 평탄화와 종점 전후의 평탄화 특성에 관한 연구)

  • 정해도;김호윤
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.34D no.12
    • /
    • pp.76-82
    • /
    • 1997
  • Chemical mechanical polishing (CMP) has become widely accepted for the planarization of multi-interconnect structures in semiconductor manufacturing. However, perfect planarization is not so easily ahieved because it depends on the pattern sensitivity, the large number of controllable process parameters, and the absence of a reliable process model, etc. In this paper, we realized the planarization of deposited oxide layers followed by metal (W) polishing as a replacement for tungsten etch-back process for via formation. Atomic force microscope (AFM) is used for the evaluation of pattern topography during CMP. As a result, AFM evaluation is very attractive compared to conventional methods for the measurment of planarity. mOreover, it will contribute to analyze planarization characteristics and establish CMP model.

  • PDF

Chemical Mechanical Polishing Characteristics with Different Slurry and Pad (슬러리 및 패드 변화에 따른 기계화학적인 연마 특성)

  • 서용진;정소영;김상용
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.52 no.10
    • /
    • pp.441-446
    • /
    • 2003
  • The chemical mechanical polishing (CMP) process is now widely employed in the ultra large scale integrated (ULSI) semiconductor fabrication. Especially, shallow trench isolation (STI) has become a key isolation scheme for sub-0.13/0.10${\mu}{\textrm}{m}$ CMOS technology. The most important issues of STI-CMP is to decrease the various defects such as nitride residue, dishing, and tom oxide. To solve these problems, in this paper, we studied the planarization characteristics using slurry additive with the high selectivity between $SiO_2$ and $Si_3$$N_4$ films for the purpose of process simplification and in-situ end point detection. As our experimental results, it was possible to achieve a global planarization and STI-CMP process could be dramatically simplified. Also, we estimated the reliability through the repeated tests with the optimized process conditions in order to identify the reproducibility of STI-CMP process.

CMP Planarization Technology Trends and Vision (CMP 평탄화 기술 동향과 전망)

  • Kim, Sang-Yong
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2002.11a
    • /
    • pp.15-18
    • /
    • 2002
  • To achieve the global planarization, CMP Technology has been used to the next generation semiconductor process, and the study made tremendous progress up to date. As the device demension shrinked, CMP Technology has been applied in a various way and more people interested in this field to simplify the process. To attain the goal for safer 0.13um or below 10 nano process, many of those expected task must be solved. By describing this current CMP process issue and future trend for the CMP planarization process, It personally hope that this paper would help to the people who has concerns for the next generation semiconductor manufacturing industry in common.

  • PDF

Studies on the AFM analysis of Cu CMP processes for pattern pitch size and density after global planarization (패턴 피치크기 및 밀도에 따른 Cu CMP 공정의 AFM 분석에 관한 연구)

  • 김동일;채연식;윤관기;이일형;조장연;이진구
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.35D no.9
    • /
    • pp.20-25
    • /
    • 1998
  • Cu removal rates for various SiO$_2$ trench pitch sizes and densities and AFM images of surface profiles after global planarization using Cu CMP technology are investigated. In the experimental results, Cu removal rates are increasing as the pattern densities and pattern pitches are getting high and low, respectively, and then decreasing after local planarization. The rms roughness after global planarization are about 120$\AA$. AFM images with a 50% pattern density for 1${\mu}{\textrm}{m}$ and 2${\mu}{\textrm}{m}$ pitches show that thicknesses of 120~330$\AA$ Cu interconnects have been peeled off and oxide erosion of Cu/Sio$_2$ sidewall is observed. However, AFM images with a 50% pattern density for 10${\mu}{\textrm}{m}$ and 15${\mu}{\textrm}{m}$ pitches show that 260~340$\AA$ thick Cu interconnects have been trenched at the boundaries of Cu/Sio$_2$ sidewall.

  • PDF

Study on the Optimization of HSS STI-CMP Process (HSS STI-CMP 공정의 최적화에 관한 연구)

  • Jeong, So-Young;Seo, Yong-Jin;Park, Sung-Woo;Kim, Chul-Bok;Kim, Sang-Yong;Lee, Woo-Sun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.05c
    • /
    • pp.149-153
    • /
    • 2003
  • Chemical mechanical polishing (CMP) technology for global planarization of multi-level inter-connection structure has been widely studied for the next generation devices. CMP process has been paid attention to planarized pre-metal dielectric (PMD), inter-layer dielectric (ILD) interconnections. Expecially, shallow trench isolation (STI) used to CMP process on essential. Recently, the direct STI-CMP process without the conventional complex reverse moat etch process has established by using slurry additive with the high selectivity between $SiO_2$ and $Si_3N_4$ films for the purpose of process simplification and n-situ end point detection(EPD). However, STI-CMP process has various defects such as nitride residue, tom oxide and damage of silicon active region. To solve these problems, in this paper, we studied the planarization characteristics using a high selectivity slurry(HSS). As our experimental results, it was possible to achieve a global planarization and STI-CMP process could be dramatically simplified. Also we estimated the reliability through the repeated tests with the optimized process conditions in order to identify the reproducibility of HSS STI-CMP process.

  • PDF

A Study on Machining Characteristic Comparison of Blanket Wafer(TEOS) by CMP and Spin Etching (CMP와 Spin Etching에 의한 Blanket Wafer(TEOS) 가공 특성 비교에 관한 연구)

  • 김도윤;정해도;이은상
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2001.04a
    • /
    • pp.1068-1071
    • /
    • 2001
  • Recently, the minimum line width shows a tendancy to decrease and the multi-level to increase in semiconductor. Therefore, a planarization technique is needed, which chemical polishing(CMP) is considered as one of the most important process. CMP accomplishes a high polishing performance and a global planarization of high quality. But there are several defects in CMP such as microscratches, abrasive contaminations, and non-uniformity of polished wafer edges. Spin Etching can improve the defects of CMP. It uses abrasive-free chemical solution instead of slurry. Wafer rotates and chemical solution is simultaneously dispensed on a whole surface of the wafer. Thereby chemical reaction is occurred on the surface of wafer, material is removed. On this study, TEOS film is removed by CMP and Spin Etching, the results are estimated at a viewpoint of material removal rate(MRR) and within wafer non-uniformity(WIWNU).

  • PDF

A Study on a Wet etching of ILD (Interlayer Dielectric) Film Wafer (습식 에칭에 의한 웨이퍼의 층간 절연막 가공 특성에 관한 연구)

  • 김도윤;김형재;정해도;이은상
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 1997.10a
    • /
    • pp.935-938
    • /
    • 1997
  • Recently, the minimum line width shows a tendency to decrease and the multi-level increase in semiconductor. Therefore, a planarization technique is needed and chemical mechanical polishing(CMP) is considered as one of the most suitable process. CMP accomplishes a high polishing performance and a global planarization of high quality. But there are several defects in CMP such as micro-scratches, abrasive contaminations, and non-uniformity of polished wafer edges. Wet etching include of Spin-etching can improve he defects of CMP. It uses abrasive-free chemical solution instead of slurry. On this study, ILD(INterlayer-Dielectric) was removed by CMP and wet-etching methods in order to investigate the superiority of wet etching mechanism. In the thin film wafer, the results were evaluated at a viewpoint of material removal rate(MRR) and within wafer non-uniformity(WIWNU). And pattern step height was also compared for planarization characteristics of the patterned wafer.

  • PDF