• Title/Summary/Keyword: flip-through

Search Result 129, Processing Time 0.036 seconds

Copper Interconnection and Flip Chip Packaging Laboratory Activity for Microelectronics Manufacturing Engineers

  • Moon, Dae-Ho;Ha, Tae-Min;Kim, Boom-Soo;Han, Seung-Soo;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.431-432
    • /
    • 2012
  • In the era of 20 nm scaled semiconductor volume manufacturing, Microelectronics Manufacturing Engineering Education is presented in this paper. The purpose of microelectronic engineering education is to educate engineers to work in the semiconductor industry; it is therefore should be considered even before than technology development. Three Microelectronics Manufacturing Engineering related courses are introduced, and how undergraduate students acquired hands-on experience on Microelectronics fabrication and manufacturing. Conventionally employed wire bonding was recognized as not only an additional parasitic source in high-frequency mobile applications due to the increased inductance caused from the wiring loop, but also a huddle for minimizing IC packaging footprint. To alleviate the concerns, chip bumping technologies such as flip chip bumping and pillar bumping have been suggested as promising chip assembly methods to provide high-density interconnects and lower signal propagation delay [1,2]. Aluminum as metal interconnecting material over the decades in integrated circuits (ICs) manufacturing has been rapidly replaced with copper in majority IC products. A single copper metal layer with various test patterns of lines and vias and $400{\mu}m$ by $400{\mu}m$ interconnected pads are formed. Mask M1 allows metal interconnection patterns on 4" wafers with AZ1512 positive tone photoresist, and Cu/TiN/Ti layers are wet etched in two steps. We employed WPR, a thick patternable negative photoresist, manufactured by JSR Corp., which is specifically developed as dielectric material for multi- chip packaging (MCP) and package-on-package (PoP). Spin-coating at 1,000 rpm, i-line UV exposure, and 1 hour curing at $110^{\circ}C$ allows about $25{\mu}m$ thick passivation layer before performing wafer level soldering. Conventional Si3N4 passivation between Cu and WPR layer using plasma CVD can be an optional. To practice the board level flip chip assembly, individual students draw their own fan-outs of 40 rectangle pads using Eagle CAD, a free PCB artwork EDA. Individuals then transfer the test circuitry on a blank CCFL board followed by Cu etching and solder mask processes. Negative dry film resist (DFR), Accimage$^{(R)}$, manufactured by Kolon Industries, Inc., was used for solder resist for ball grid array (BGA). We demonstrated how Microelectronics Manufacturing Engineering education has been performed by presenting brief intermediate by-product from undergraduate and graduate students. Microelectronics Manufacturing Engineering, once again, is to educating engineers to actively work in the area of semiconductor manufacturing. Through one semester senior level hands-on laboratory course, participating students will have clearer understanding on microelectronics manufacturing and realized the importance of manufacturing yield in practice.

  • PDF

Interconnection Process and Electrical Properties of the Interconnection Joints for 3D Stack Package with $75{\mu}m$ Cu Via ($75{\mu}m$ Cu via가 형성된 3D 스택 패키지용 interconnection 공정 및 접합부의 전기적 특성)

  • Lee Kwang-Yong;Oh Teck-Su;Won Hye-Jin;Lee Jae-Ho;Oh Tae-Sung
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.12 no.2 s.35
    • /
    • pp.111-119
    • /
    • 2005
  • Stack specimen with three dimensional interconnection structure through Cu via of $75{\mu}m$ diameter, $90{\mu}m$ height and $150{\mu}m$ pitch was successfully fabricated using subsequent processes of via hole formation with Deep RIE (reactive ion etching), Cu via filling with pulse-reverse electroplating, Si thinning with CMP, photolithography, metal film sputtering, Cu/Sn bump formation, and flip chip bonding. Contact resistance of Cu/Sn bump and Cu via resistance could be determined ken the slope of the daisy chain resistance vs the number of bump joints of the flip chip specimen containing Cu via. When flip- chip bonded at $270^{\circ}C$ for 2 minutes, the contact resistance of the Cu/Sn bump joints of $100{\times}100{\mu}m$ size was 6.7m$\Omega$ and the Cu via resistance of $75{\mu}m$ diameter, $90{\mu}m$ height was 2.3m$\Omega$.

  • PDF

Formation of Sn-Cu Solder Bump by Electroplating for Flip Chip (플립칩용 Sn-Cu 전해도금 솔더 범프의 형성 연구)

  • 정석원;강경인;정재필;주운홍
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.10 no.4
    • /
    • pp.39-46
    • /
    • 2003
  • Sn-Cu eutectic solder bump was fabricated by electroplating for flip chip and its characteristics were studied. A Si-wafer was used as a substrate and the UBM(Under Bump Metallization) of Al(400 nm)/Cu(300 nm)/Ni(400 nm)/Au(20 nm) was coated sequentially from the substrate to the top by an electron beam evaporator. The experimental results showed that the plating ratio of the Sn-Cu increased from 0.25 to 2.7 $\mu\textrm{m}$/min with the current density of 1 to 8 A/d$\m^2$. In this range of current density the plated Sn-Cu maintains its composition nearly constant level as Sn-0.9∼1.4 wt%/Cu. The solder bump of typical mushroom shape with its stem diameter of 120 $\mu\textrm{m}$ was formed through plating at 5 A/d$\m^2$ for 2 hrs. The mushroom bump changed its shape to the spherical type of 140 $\mu\textrm{m}$ diameter by air reflow at $260^{\circ}C$. The homogeneity of chemical composition for the solder bump was examined, and Sn content in the mushroom bump appears to be uneven. However, the Sn distributed more uniformly through an air reflow.

  • PDF

Experimental Study on Behavior of Green Water for Rectangular Structure (사각형 해양구조물의 청수현상 발생과정에 대한 실험적 연구)

  • Chae, Young Jun;Lee, Kang Nam;Jung, Kwang Hyo;Suh, Sung Bu;Lee, Jae Yong
    • Journal of Ocean Engineering and Technology
    • /
    • v.30 no.1
    • /
    • pp.44-50
    • /
    • 2016
  • An experimental study was performed to investigate the behavior of green water on a structure with a rectangular cross section under wave conditions, along with the flow characteristics in bubbly water flow. An experiment was conducted in a two-dimensional wave flume using an acrylic model (1/125) of FPSO BW Pioneer operating in the Gulf of Mexico under its design wave condition. The occurrence of green water, including its development, in front of the model was captured using a high-speed Charge Coupled Device (CCD) camera with the shadowgraph technique. Using consecutive images, the generation procedure for green water on the model was divided into five phases: flip through, air entrapment, wave run-up, wave overturning, and water shipping. In addition, the distinct water elevations of the green water were defined as the height of flip through, height of splashing jet, and height of freeboard exceedance, and showed a linear relationship with the incoming wave height.

A Study on the Influence of Flip Learning Classes on Academic Performance in Primary Course of Technical University (공과대학 전공기초과목에서의 플립러닝 수업이 학업성적에 미치는 영향 연구)

  • Yi, Eunseon;Lim, Heuiseok
    • The Journal of Korean Association of Computer Education
    • /
    • v.23 no.3
    • /
    • pp.59-64
    • /
    • 2020
  • This study conducted a 15-week experiment on 236 students who took a major in engineering to find out the impact of learning through flipped learning on their academic performance. Previous flipped learning studies have largely been tested on learning motivation or learning satisfaction through questionnaires, and few have compared academic performance differences. Therefore, it is necessary to identify the increase and decrease in academic performance in the flipped learning classroom. Studies have shown significant differences that is a big difference between the academic performance of the experimental group appling flipped learning and the control group appling traditional lecture-style classes, and the academic performance of the experimental group was higher than that of the control group. This can be said to have a positive effect on academic performance. Therefore, it is hoped that the flip learning method will be expanded in all curriculums.

Minimizing Leakage of Sequential Circuits through Flip-Flop Skewing and Technology Mapping

  • Heo, Se-Wan;Shin, Young-Soo
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.7 no.4
    • /
    • pp.215-220
    • /
    • 2007
  • Leakage current of CMOS circuits has become a major factor in VLSI design these days. Although many circuit-level techniques have been developed, most of them require significant amount of designers' effort and are not aligned well with traditional VLSI design process. In this paper, we focus on technology mapping, which is one of the steps of logic synthesis when gates are selected from a particular library to implement a circuit. We take a radical approach to push the limit of technology mapping in its capability of suppressing leakage current: we use a probabilistic leakage (together with delay) as a cost function that drives the mapping; we consider pin reordering as one of options in the mapping; we increase the library size by employing gates with larger gate length; we employ a new flipflop that is specifically designed for low-leakage through selective increase of gate length. When all techniques are applied to several benchmark circuits, leakage saving of 46% on average is achieved with 45-nm predictive model, compared to the conventional technology mapping.

YM155 Induces Apoptosis through Downregulation of Anti-apoptotic Proteins in Head and Neck AMC-HN4 Cells (YM155 처리에 의한 두경부 암 AMC-HN4 세포 세포자멸사 유도 효과)

  • Chang, Ho Joon;Kwon, Taeg Kyu;Kim, Dong Eun
    • Journal of Life Science
    • /
    • v.29 no.3
    • /
    • pp.318-324
    • /
    • 2019
  • Squamous cell carcinoma is the primary tumor type in head and neck cancers, the fifth most common malignant neoplasm world-wide. Survivin, a member of the inhibitor of apoptosis family, is highly expressed in head and neck carcinoma patients and correlated with more aggressive forms. In this study, we investigated whether YM155, a specific survivin inhibitor, could induce apoptosis in head and neck AMC-HN4 cells. YM155 was found to markedly induce apoptosis and cleavage of PARP, a marker of apoptosis. Furthermore, YM155 promoted apoptosis in other cancer cells, such as glioma (U251MG) and renal carcinoma (Caki) cells. In contrast, YM155 had no effect on apoptosis in normal mesangial cells. YM155 significantly induced caspase activation, and pan caspase inhibitor z-VAD-fmk markedly blocked apoptosis, PARP cleavage, and caspase-3 cleavage. Therefore, YM155 was seen to instigate caspase-dependent apoptosis in head and neck AMC-HN4 cells, inducing downregulation of survivin as well as other apoptotic proteins such as c-FLIP and Mcl-1. In addition, the induction of apoptosis and PARP cleavage by YM155 treatment was effectively inhibited in survivin-, c-FLIP- and Mcl-1-over-expressing head and neck AMC-HN4 cells. In conclusion, YM155 is a potent candidate for inducing cell death in head and neck AMC-HN4 cells.

A Case Study on Flipped Learning Methods in 'The History of Science 'Liberal Arts Class for Undergraduate Students (플립러닝을 적용한 '과학사의 이해' 교양 수업 사례 연구)

  • Heejin Oh
    • Journal of Science Education
    • /
    • v.46 no.3
    • /
    • pp.312-325
    • /
    • 2022
  • This study aims to provide a science history content system necessary in the course design process of liberal arts subjects, along with the application of flip learning in liberal arts science classes for humanities and social sciences students. For the research, we analyzed the current state of the liberal arts and history of science classes at universities. Then we developed the 'Understanding the History of Science' subject by applying the flip learning method through the analysis of various previous studies. As the goal of science history lectures that can reach the essential purposes of science liberal arts education, including knowledge acquisition and strengthening various competencies, scientific attitude cultivation was set, and the content system of week 15 was designed to consider this. The four topics corresponding to the "History of Science" part of the "Understanding Science History" content system consisted of flipped learning classes and teaching and learning activities, including online video materials and group discussion activities. As a result of opening courses for students in the humanities and social sciences and operating classes for 56 college students, it was confirmed that students' interest and awareness of science increased. This study provides educational evidence for science history and liberal arts education.