• Title/Summary/Keyword: fan-out package

Search Result 17, Processing Time 0.03 seconds

Numerical Analysis of Warpage and Reliability of Fan-out Wafer Level Package (수치해석을 이용한 팬 아웃 웨이퍼 레벨 패키지의 휨 경향 및 신뢰성 연구)

  • Lee, Mi Kyoung;Jeoung, Jin Wook;Ock, Jin Young;Choa, Sung-Hoon
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.21 no.1
    • /
    • pp.31-39
    • /
    • 2014
  • For mobile application, semiconductor packages are increasingly moving toward high density, miniaturization, lighter and multi-functions. Typical wafer level packages (WLP) is fan-in design, it can not meet high I/O requirement. The fan-out wafer level packages (FOWLPs) with reconfiguration technology have recently emerged as a new WLP technology. In FOWLP, warpage is one of the most critical issues since the thickness of FOWLP is thinner than traditional IC package and warpage of WLP is much larger than the die level package. Warpage affects the throughput and yield of the next manufacturing process as well as wafer handling and fabrication processability. In this study, we investigated the characteristics of warpage and main parameters which affect the warpage deformation of FOWLP using the finite element numerical simulation. In order to minimize the warpage, the characteristics of warpage for various epoxy mold compounds (EMCs) and carrier materials are investigated, and DOE optimization is also performed. In particular, warpage after EMC molding and after carrier detachment process were analyzed respectively. The simulation results indicate that the most influential factor on warpage is CTE of EMC after molding process. EMC material of low CTE and high Tg (glass transition temperature) will reduce the warpage. For carrier material, Alloy42 shows the lowest warpage. Therefore, considering the cost, oxidation and thermal conductivity, Alloy42 or SUS304 is recommend for a carrier material.

BUMPLESS FLIP CHIP PACKAGE FOR COST/PERFORMANCE DRIVEN DEVICES

  • Lin, Charles W.C.;Chiang, Sam C.L.;Yang, T.K.Andrew
    • Proceedings of the International Microelectronics And Packaging Society Conference
    • /
    • 2002.09a
    • /
    • pp.219-225
    • /
    • 2002
  • This paper presents a novel "bumpless flip chip package"for cost! performance driven devices. Using the conventional electroplating and etching processes, this package enables the production of fine pitch BGA up to 256 I/O with single layer routing. An array of circuitry down to $25-50{\mu}{\textrm}{m}$ line/space is fabricated to fan-in and fan-out of the bond pads without using bumps or substrate. Various types of joint methods can be applied to connect the fine trace and the bond pad directly. The resin-filled terminal provides excellent compliancy between package and the assembled board. More interestingly, the thin film routing is similar to wafer level packaging whereas the fan-out feature enables high lead count devices to be accommodated in the BGA format. Details of the design concepts and processing technology for this novel package are discussed. Trade offs to meet various cost or performance goals for selected applications are suggested. Finally, the importance of design integration early in the technology development cycle with die-level and system-level design teams is highlighted as critical to an optimal design for performance and cost.

  • PDF

Cure Properties of Isocyanurate Type Epoxy Resin Systems for FO-WLP (Fan Out-Wafer Level Package) Next Generation Semiconductor Packaging Materials (FO-WLP (Fan Out-Wafer Level Package) 차세대 반도체 Packaging용 Isocyanurate Type Epoxy Resin System의 경화특성연구)

  • Kim, Whan Gun
    • Journal of the Semiconductor & Display Technology
    • /
    • v.18 no.1
    • /
    • pp.65-69
    • /
    • 2019
  • The cure properties of ethoxysilyl diglycidyl isocyanurate(Ethoxysilyl-DGIC) and ethylsilyl diglycidyl isocyanurate (Ethylsilyl-DGIC) epoxy resin systems with a phenol novolac hardener were investigated for anticipating fan out-wafer level package(FO-WLP) applications, comparing with ethoxysilyl diglycidyl ether of bisphenol-A(Ethoxysilyl-DGEBA) epoxy resin systems. The cure kinetics of these systems were analyzed by differential scanning calorimetry with an isothermal approach, and the kinetic parameters of all systems were reported in generalized kinetic equations with diffusion effects. The isocyanurate type epoxy resin systems represented the higher cure conversion rates comparing with bisphenol-A type epoxy resin systems. The Ethoxysilyl-DGIC epoxy resin system showed the highest cure conversion rates than Ethylsilyl-DGIC and Ethoxysilyl-DGEBA epoxy resin systems. It can be figured out by kinetic parameter analysis that the highest conversion rates of Ethoxysilyl-DGIC epoxy resin system are caused by higher collision frequency factor. However, the cure conversion rate increases of the Ethylsilyl-DGEBA comparing with Ethoxysilyl-DGEBA are due to the lower activation energy of Ethylsilyl-DGIC. These higher cure conversion rates in the isocyanurate type epoxy resin systems could be explained by the improvements of reaction molecule movements according to the compact structure of isocyanurate epoxy resin.

Analysis of Warpage of Fan-out Wafer Level Package According to Molding Process Thickness (몰드 두께에 의한 팬 아웃 웨이퍼 레벨 패키지의 Warpage 분석)

  • Seung Jun Moon;Jae Kyung Kim;Euy Sik Jeon
    • Journal of the Semiconductor & Display Technology
    • /
    • v.22 no.4
    • /
    • pp.124-130
    • /
    • 2023
  • Recently, fan out wafer level packaging, which enables high integration, miniaturization, and low cost, is being rapidly applied in the semiconductor industry. In particular, FOWLP is attracting attention in the mobile and Internet of Things fields, and is recognized as a core technology that will lead to technological advancements such as 5G, self-driving cars, and artificial intelligence in the future. However, as chip density and package size within the package increase, FOWLP warpage is emerging as a major problem. These problems have a direct impact on the reliability and electrical performance of semiconductor products, and in particular, cause defects such as vacuum leakage in the manufacturing process or lack of focus in the photolithography process, so technical demands for solving them are increasing. In this paper, warpage simulation according to the thickness of FOWLP material was performed using finite element analysis. The thickness range was based on the history of similar packages, and as a factor causing warpage, the curing temperature of the materials undergoing the curing process was applied and the difference in deformation due to the difference in thermal expansion coefficient between materials was used. At this time, the stacking order was reflected to reproduce warpage behavior similar to reality. After performing finite element analysis, the influence of each variable on causing warpage was defined, and based on this, it was confirmed that warpage was controlled as intended through design modifications.

  • PDF

Three-Dimensional Computational Flow Analysis of a Sirocco Fan for a Package Air Conditioner by LES (LES에 의한 PAC용 시로코홴의 3차원 전산유동해석)

  • Kim, J.K.;Oh, S.H.
    • Journal of Power System Engineering
    • /
    • v.16 no.4
    • /
    • pp.51-59
    • /
    • 2012
  • The computational flow analysis using LES technique was carried out to investigate the flow characteristics of a sirocco fan under the maximum flowrate condition. The commercial SC/Tetra software was used for this unsteady and three-dimensional numerical analysis. In consequence, because a flow is unstable within the range of about 50% of a housing depth from a bellmouth around the cutoff region, the passing flow through the blade cascade occurred on the X-Y plane is a slow or a reverse with approaching to the housing inlet. Also, the secondary flow shows on the radial plane of a housing, and its vortex center exists within about 33% of a housing depth from a bellmouth except the cutoff region. Moreover, the flow occurring on the exit plane of a sirocco fan shows a complex secondary flow.

MULTI STAGE SHAPE OPTIMIZATION OF CENTRIFUGAL FAN FOR HOME APPLIANCE USING CFD (전산유체역학을 활용한 가전 제품용 원심팬 블레이드의 단계별 형상 최적화)

  • Kim, J.S.;Kang, T.G.
    • Journal of computational fluids engineering
    • /
    • v.21 no.3
    • /
    • pp.39-47
    • /
    • 2016
  • We conducted a multi-stage optimization to secure the desired performance of a centrifugal fan for home appliance in an early stage of product development. In optimization, the static pressure at the outlet of the fan is chosen as an objective function that is to be maximized, providing the required flow rate at the operating point of the fan. The optimization procedure begins with parameters for an initial baseline fan design. The baseline design is optimized by using a commercial optimization package. Accordingly, the corresponding blade models with a set of geometrical parameters are generated. Flow through a fan is simulated by solving the Reynolds-averaged Navier-Stokes equations. A multi-stage optimization scheme is employed to determine the family of optimum values for the parameters, leading to the pressure increase at the outlet of the fan. To validate the numerically obtained optimal design parameters, we fabricated the three types of fans using rapid prototyping and assessed the performance using a fan tester. Experimental results show that the design parameters at each stage satisfy the goal of optimization. The multi-stage optimization process turned out to be a useful tool in the development of a centrifugal fan.

Effect of Material Property Uncertainty on Warpage during Fan Out Wafer-Level Packaging Process (팬아웃 웨이퍼 레벨 패키지 공정 중 재료 물성의 불확실성이 휨 현상에 미치는 영향)

  • Kim, Geumtaek;Kang, Gihoon;Kwon, Daeil
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.26 no.1
    • /
    • pp.29-33
    • /
    • 2019
  • With shrinking form factor and improving performance of electronic packages, high input/output (I/O) density is considered as an important factor. Fan out wafer-level packaging (FO-WLP) has been paid great attention as an alternative. However, FO-WLP is vulnerable to warpage during its manufacturing process. Minimizing warpage is essential for controlling production yield, and in turn, package reliability. While many studies investigated the effect of process and design parameters on warpage using finite element analysis, they did not take uncertainty into consideration. As parameters, including material properties, chip positions, have uncertainty from the point of manufacturing view, the uncertainty should be considered to reduce the gap between the results from the field and the finite element analysis. This paper focuses on the effect of uncertainty of Young's modulus of chip on fan-out wafer level packaging warpage using finite element analysis. It is assumed that Young's modulus of each chip follows the normal distribution. Simulation results show that the uncertainty of Young's modulus affects the maximum von Mises stress. As a result, it is necessary to control the uncertainty of Young's modulus of silicon chip since the maximum von Mises stress is a parameter related to the package reliability.