• Title/Summary/Keyword: damascene

Search Result 60, Processing Time 0.024 seconds

Color Evolution in Single Crystal Colored Cubic Zirconias With Annealing Atmosphere and Temperature

  • Song, Jeongho;Noh, Yunyoung;Song, Ohsung
    • Journal of the Korean Ceramic Society
    • /
    • v.53 no.4
    • /
    • pp.450-455
    • /
    • 2016
  • Color change in single-crystal, yellow, red, purple, and colorless cubic zirconias (CZs) was investigated as a function of annealing in vacuum and air atmosphere at $800-1400^{\circ}C$ for 30 min, for development of a damascene process of plugging a precious metal paste at the elevated temperature. Coloring-element contents of the CZs were evaluated using WD-XRF, and the color change determined visually by naked eye, and using a digital camera and UV-Vis-NIR color analyzer. WD-XRF showed that all of the CZs had cubic-phase stabilizer elements and coloring elements. All CZs that underwent vacuum annealing exhibited a slight color change at $<900^{\circ}C$, while their colors began to change to black at $1100^{\circ}C$, and became opaque black at $1400^{\circ}C$. After air annealing, there was almost no color change up to $1400^{\circ}C$. Since red and purple CZs showed greater color difference (CD) values than the others, the degree of CD is likely to depend on the original color of the CZ due to the different stabilities of their coloring elements during annealing. Based on our results, it is suggested that annealing in air at $<900^{\circ}C$ is advantageous, and assorted colored CZs can be used for precious metal damascene.

Chemical Mechanical Polishing Characteristics of BTO Thin Film for Vertical Sidewall Patterning of High-Density Memory Capacitor (고집적 메모리 커패시터의 Vertical Sidewall Patterning을 위한 BTO 박막의 CMP 특성)

  • Ko, Pil-Ju;Park, Sung-Woo;Lee, Kang-Yeon;Lee, Woo-Sun;Seo, Yong-Jin
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.55 no.3
    • /
    • pp.116-121
    • /
    • 2006
  • Most high-k materials are well known not to be etched easily, Some problems such as low etch rate poor sidewall angle, plasma damage, and process complexity were emerged from the high-density DRAM fabrication. Chemical mechanical polishing (CMP) by a damascene process was proposed to pattern this high-k material was polished with some commercial silica slurry as a function of pH variation. Sufficient removal rate with adequate selectivity to realize the pattern mask of tera-ethyl ortho-silicate (TEOS) film for the vertical sidewall angle were obtained. The changes of X-ray diffraction pattern and dielectric constant by CMP process were negligible. The planarization was also achieved for the subsequent multi-level processes. Our new CMP approach will provide a guideline for effective patterning of high-k material by CMP technique.

Antiglycation and antioxidant activity of four Iranian medical plant extracts

  • Safari, Mohammad Reza;Azizi, Omid;Heidary, Somayeh Sadat;Kheiripour, Nejat;Ravan, Alireza Pouyandeh
    • Journal of Pharmacopuncture
    • /
    • v.21 no.2
    • /
    • pp.82-89
    • /
    • 2018
  • Objective: Diabetes mellitus (DM) is the most common metabolic disorder that defined by chronic hyperglycemia for the deficiency in insulin secretion or resistance. Hyperglycemia could induce non-enzymatic glycation of proteins. It has been suggested that some traditional plants can improve blood glucose and inhibit glycation process. This work evaluates and compares the anti-glycation activities of four Iranian plant extracts in vitro. Methods: The methanolic extract of "Fumaria officinalis, Stachys lavandulifolia, Salvia hydrangea and Rosa Damascene" was prepared in three different concentrations. Phenolic, flavonoids content and antioxidant activity were evaluated. The multistage glycation markers- fructosamines (early stage), protein carbonyls (intermediate stage) and ${\beta}$ aggregation of albumin were investigated in the bovine serum albumin (BSA)/ glucose systemt. Results: All plants showed the high potency of scavenging free radicals and glycation inhibition in the following order: Fumaria officinalis> Rosa Damascene> Stachys lavandulifolia > Salvia hydrangea. There was a significant correlation between antioxidant and anti-glycation activity. Also, the antioxidant and anti-glycation capacity of extracts correlated with total phenolic and flavonoids content. Conclusion: Our findings demonstrated that the studied plants are good sources of anti-glycation and antioxidant compounds and, these properties can primarily attributable to phenolics, particularly flavonoids.

Ferroelectric characteristics of PZT capacitors fabricated by using chemical mechanical polishing process with change of process parameters (화학적기계적연마 공정으로 제조한 PZT 캐패시터의 공정 조건에 따른 강유전 특성 연구)

  • Jun, Young-Kil;Jung, Pan-Gum;Ko, Pil-Ju;Kim, Nam-Hoon;Lee, Woo-Sun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.11a
    • /
    • pp.66-66
    • /
    • 2007
  • Lead zirconate titanate (PZT) is one of the most attractive perovskite-type materials for ferroelectric random access memory (FRAM) due to its higher remanant polarization and the ability to withstand higher coercive fields. We first applied the damascene process using chemical mechanical polishing (CMP) to fabricate the PZT thin film capacitor to solve the problems of plasma etching including low etching profile and ion charging. The $0.8{\times}0.8\;{\mu}m$ square patterns of silicon dioxide on Pt/Ti/$SiO_2$/Si substrate were coated by sol-gel method with the precursor solution of PZT. Damascene process by CMP was performed to pattern the PZT thin film with the vertical sidewall and no plasma damage. The polarization-voltage (P-V) characteristics of PZT capacitors and the current-voltage characteristics (I-V) were examined by change of process parameters. To examine the CMP induced damage to PZT capacitor, the domain structure of the polished PZT thin film was also investigated by piezoresponse force microscopy (PFM).

  • PDF

Studies on Cu Dual-damascene Processes for Fabrication of Sub-0.2${\mu}m$ Multi-level Interconnects (Sub-0.2${\mu}m$ 다층 금속배선 제작을 위한 Cu Dual-dmascene공정 연구)

  • Chae, Yeon-Sik;Kim, Dong-Il;Youn, Kwan-Ki;Kim, Il-Hyeong;Rhee, Jin-Koo;Park, Jang-Hwan
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.36D no.12
    • /
    • pp.37-42
    • /
    • 1999
  • In this paper, some of main processes for the next generation integrated circuits, such as Cu damascene process using CMP, electron beam lithography, $SiO_2$ CVD and RIE, Ti/Cu-CVD were carried cut and then, two level Cu interconnects were accomplished. In the results of CMP unit processes, a 4,635 ${\AA}$/min of removal rate, a selectivity of Cu : $SiO_2$ of 150:1, a uniformity of 4.0% are obtained under process conditions of a head pressure of 4 PSI, table and head speed of 25rpm, a oscillation distance of 40 mm, and a slurry flow rate of 40 ml/min. Also 0.18 ${\mu}m\;SiO_2$ via-line patterns are fabricated using 1000 ${\mu}C/cm^2$ dose, 6 minute and 30 second development time and 1 minute and 30 second etching time. And finally sub-0.2 ${\mu}$ twolevel metal interconnects using the developed processes were fabricated and the problems of multilevel interconnects are discussed.

  • PDF

Study on the Effects of Corrosion Inhibitor According to the Functional Groups for Cu Chemical Mechanical Polishing in Neutral Environment (중성 영역 구리 화학적 기계적 평탄화 공정에서의 작용기에 따른 부식방지제의 영향성 연구)

  • Lee, Sang Won;Kim, Jae Jeong
    • Korean Chemical Engineering Research
    • /
    • v.53 no.4
    • /
    • pp.517-523
    • /
    • 2015
  • As the aluminum (Al) metallization process was replaced with copper (Cu), the damascene process was introduced, which required the planarization step to eliminate over-deposited Cu with Chemical Mechanical Polishing (CMP) process. In this study, the verification of the corrosion inhibitors, one of the Cu CMP slurry components, was conducted to find out the tendency regarding the carboxyl and amino functional group in neutral environment. Through the results of etch rate, removal rate, and chemical ability of corrosion inhibitors based on 1H-1,2,4-triazole as the base-corrosion inhibitor, while the amine functional group presents high Cu etching ability, carboxyl functional group shows lower Cu etching ability than base-corrosion inhibitor which means that it increases passivation effect by making strong passivation layer. It implies that the corrosion inhibitor with amine functional group was proper to apply for 1st Cu CMP slurry owing to the high etch rate and with carboxyl functional group was favorable for the 2nd Cu CMP slurry due to the high Cu removal rate/dissolution rate ratio.

Ti/Cu CMP process for wafer level 3D integration (웨이퍼 레벨 3D Integration을 위한 Ti/Cu CMP 공정 연구)

  • Kim, Eunsol;Lee, Minjae;Kim, Sungdong;Kim, Sarah Eunkyung
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.19 no.3
    • /
    • pp.37-41
    • /
    • 2012
  • The wafer level stacking with Cu-to-Cu bonding becomes an important technology for high density DRAM stacking, high performance logic stacking, or heterogeneous chip stacking. Cu CMP becomes one of key processes to be developed for optimized Cu bonding process. For the ultra low-k dielectrics used in the advanced logic applications, Ti barrier has been preferred due to its good compatibility with porous ultra low-K dielectrics. But since Ti is electrochemically reactive to Cu CMP slurries, it leads to a new challenge to Cu CMP. In this study Ti barrier/Cu interconnection structure has been investigated for the wafer level 3D integration. Cu CMP wafers have been fabricated by a damascene process and two types of slurry were compared. The slurry selectivity to $SiO_2$ and Ti and removal rate were measured. The effect of metal line width and metal density were evaluated.

Electrodeposition for the Fabrication of Copper Interconnection in Semiconductor Devices (반도체 소자용 구리 배선 형성을 위한 전해 도금)

  • Kim, Myung Jun;Kim, Jae Jeong
    • Korean Chemical Engineering Research
    • /
    • v.52 no.1
    • /
    • pp.26-39
    • /
    • 2014
  • Cu interconnection in electronic devices is fabricated via damascene process including Cu electrodeposition. In this review, Cu electrodeposition and superfilling for fabricating Cu interconnection are introduced. Superfilling results from the influences of organic additives in the electrolyte for Cu electrodeposition, and this is enabled by the local enhancement of Cu electrodeposition at the bottom of filling feature formed on the wafer through manipulating the surface coverage of organic additives. The dimension of metal interconnection has been constantly reduced to increase the integrity of electronic devices, and the width of interconnection reaches the range of few tens of nanometer. This size reduction raises the issues, which are the deterioration of electrical property and the reliability of Cu interconnection, and the difficulty of Cu superfilling. The various researches on the development of organic additives for the modification of Cu microstructure, the application of pulse and pulse-reverse electrodeposition, Cu-based alloy superfilling for improvement of reliability, and the enhancement of superfilling phenomenon to overcome the current problems are addressed in this review.

Effect of Chemical Mechanical Cleaning(CMC) on Particle Removal in Post-Cu CMP Cleaning (구리 CMP 후 연마입자 제거에 화학 기계적 세정의 효과)

  • Kim, Young-Min;Cho, Han-Chul;Jeong, Hae-Do
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.33 no.10
    • /
    • pp.1023-1028
    • /
    • 2009
  • Cleaning is required following CMP (chemical mechanical planarization) to remove particles. The minimization of particle residue is required with each successive technology generation, and the cleaning of wafers becomes more complicated. In copper damascene process for interconnection structure, it utilizes 2-step CMP consists of Cu and barrier CMP. Such a 2-steps CMP process leaves a lot of abrasive particles on the wafer surface, cleaning is required to remove abrasive particles. In this study, the chemical mechanical cleaning(CMC) is performed various conditions as a cleaning process. The CMC process combined mechanical cleaning by friction between a wafer and a pad and chemical cleaning by CMC solution consists of tetramethyl ammonium hydroxide (TMAH) / benzotriazole (BTA). This paper studies the removal of abrasive on the Cu wafer and the cleaning efficiency of CMC process.

Stress and Stress Voiding in Cu/Low-k Interconnects

  • Paik, Jong-Min;Park, Hyun;Joo, Young-Chang
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.3 no.3
    • /
    • pp.114-121
    • /
    • 2003
  • Through comparing stress state of TEOS and SiLK-embedded structures, the effect of low-k materials on stress and stress distribution in via-line structures were investigated using three-dimensional finite element analyses. In the case of TEOS-embedded via-line structures, hydrostatic stress was concentrated at the via and the top of the lines, where the void was suspected to nucleate. On the other hand, in the via-line structures integrated with SiLK, large von-Mises stress is maintained at the via, thus deformation of via is expected as the main failure mode. A good correlation between the calculated results and experimentally observed failure modes according to dielectric materials was obtained.