• Title/Summary/Keyword: Warpage analysis

Search Result 134, Processing Time 0.023 seconds

Development of Heat Exchanger Production Model Based on the Microlamination Technology and Estimation of its Economic Efficiency (마이크로 적층기술을 이용한 열교환기 생산모델 개발과 경제성 평가)

  • Ryuh, Beom-Sahng;Kim, Jae-Hee;Park, Sang-Min
    • Transactions of the Korean Society of Machine Tool Engineers
    • /
    • v.15 no.3
    • /
    • pp.97-103
    • /
    • 2006
  • The development of a heat exchanger production model based on the microlamination technology and it's economic efficiency is addressed. A microchannel production model is proposed for the high-volume production. The microlamination system is made up of lamina patterning, laminae sorting and laminae bonding. A cost estimation model is developed based on the hewn cycle time and capital equipment costs. An economic efficiency analysis is performed to determine the cost drivers under the different market and product scenarios. The result of the economic efficiency analysis indicated that the device size and the production rate have a great effect on the overall manufacturing cost of microlamination devices. And it can be concluded that the microlamination should focus on bonding larger laminae and reducing both cycle time and warpage.

A Study on Flow Balance and Cavity Pressure in Family Mold (FAMILY MOLD의 유동 밸런스와 금형 내압에 관한 연구)

  • 김태철;이대근;홍기복;김영근;박인수
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2002.10a
    • /
    • pp.603-607
    • /
    • 2002
  • Cavity pressure is a factor of what is occurring inside the mold and is used as one of the process parameters that control the overall injection molding cycle. The insight of cavity pressure is able to predict part quality and optimum process condition. In this paper, it is adapted ejector pin sensor to measure the cavity pressure and investigates the flow balance and the cavity pressure according to different runner thickness for adjusting the flow balance. Flow balance is very important to have not the poor results such as flash and warpage in the family mold. This paper predicted flow balance and cavity pressure using CAE analysis tool and compared with the test results. The results of analysis and test have a good agreement with the cavity pressure profile and flow pattern of the test.

  • PDF

A Study on Injection Condition Optimization and Deformation Improvement using Taguchi Design of Experiments (다구찌 실험계획법을 이용한 사출 조건 최적화와 변형 개선에 대한 연구)

  • Young-Tae Yu;Sung-Min Mun;Sung-Young Jun;Kyoung-A Kim
    • Design & Manufacturing
    • /
    • v.17 no.2
    • /
    • pp.62-69
    • /
    • 2023
  • In this study, we conducted a study on the optimization of injection molding conditions to minimize deformation of plastic product. The charging management system housing of the vehicle was selected as the research subject. Melting temperature, cooling temperature, packing time, and packing pressure were selected as the main factors expected to affect the deformation of molded products. Each main factor was divided into 5 levels. Optimization of injection molding conditions to minimize deformation was performed using the Taguchi Method. We performed an analysis of variance (ANOVA) to identify significant factors affecting the deformation of plastic product. In order to select injection molding conditions that minimize deformation of plastic products, injection molding analysis was additionally performed for insignificant factors. We then compared the deformation of the molded part before and after optimization. As a result of comparing the injection analysis results of the basic conditions and the injection analysis results of the optimal conditions, it was confirmed that the amount of deformation after optimization was improved by about 10.9%.

Cure simulation in LED silicone lense using dynamic reaction kinetics method (승온 반응속도식을 이용한 LED용 실리콘 렌즈의 경화공정해석)

  • Song, Min-Jae;Hong, Seok-Kwan;Park, Jeong-Yeon;Lee, Jeong-Won;Kim, Heung-Kyu
    • Design & Manufacturing
    • /
    • v.8 no.2
    • /
    • pp.46-49
    • /
    • 2014
  • Silicone is recently used for LED chip lense due to its good thermal stability and optical transmittance. In order to predict residual stress which causes optical briefringence and mechanical warpage of silicone, finite element analysis was conducted for curing process during silicone molding. For analysis of curing process, a dynamic cure kinetics model was derived based on the differential scanning calorimetry(DSC) test and applied to the material properties for finite element analysis. Finite element simulation result showed that the slow cure reduced abrupt reaction heat and it was predicted decrease of the residual stress.

  • PDF

The Filling Balance of LDPE/ABS/PA6,6 Resin in Variable-Runner-System (가변러너시스템에서 LDPE/ABS/PA6,6 수지의 충전균형)

  • Park, H.P.;Cha, B.S.;Kang, J.K.;Rhee, B.O.
    • Transactions of Materials Processing
    • /
    • v.15 no.9 s.90
    • /
    • pp.641-647
    • /
    • 2006
  • During the injection molding process an excessive packing can occur in the smaller volume cavity because of volumetric difference of the family-mold. It causes warpage by increased residual stress in the product and flesh by over packing. In this study, we used a variable-runner system for the filling balance of the cavities by changing the cross-sectional area of a runner, and confirmed the filling imbalance by temperature and pressure sensors. We carried out experiments to examine the influence of types of resins such as LDPE/ABS/PA6,6 on the filling balancing of the system, in order to help mold designers, who can easily adopt the variable-runner system to their design. We also examined filling imbalance in the system with CAE analysis.

A multi Step Cure Process to Prevent Residual Bubbles in LED Encapsulation Silicone Resin (LED Encapsulation 실리콘의 기포잔류방지를 위한 Step 경화공정 연구)

  • Song, M.J.;Kim, H.K.;Yoon, G.S.;Kim, K.H.
    • Transactions of Materials Processing
    • /
    • v.21 no.2
    • /
    • pp.101-106
    • /
    • 2012
  • Generally, rapid cure reaction of LED encapsulation silicone resin causes serious defects in cured resin products such as warpage, residual bubbles, and reduced wettablility. In order to prevent residual bubbles in silicone resin, the step cure process was examined in the present paper. Three kinds of step cure processes were applied, and bubble-free phenomenon was observed. Most of the bubbles were removed under $70^{\circ}C$, the minimum temperature for activating cure reaction. In addition, degree of cure(DOC) and temperature distribution were predicted by using FEM analysis of heat transfer. It was concluded that maintaining cure temperature which provide a DOC under 0.5~0.6 effectively reduces residual bubbles.

Numerical and Experimental Analysis of Laminated-Film Thickness Variation in Vacuum-Assisted Thermoforming (열진공성형에서 적층필름 두께변화에 대한 수치 및 실험적 해석)

  • Lee, H.S.;Yoo, Y.G.
    • Transactions of Materials Processing
    • /
    • v.22 no.3
    • /
    • pp.171-177
    • /
    • 2013
  • Vacuum-assisted thermoforming is one of the critical steps for successful application of film insert molding (FIM) to make parts of complex shape. If the thickness distribution of the formed film is non-uniform, then cracking, deformation, warpage, and wrinkling can easily occur at the injection molding stage. In this study, the simulation of thermoforming was performed to predict the film thickness distribution, and the results were compared with experiments. Uniaxial tensile tests with a constant crosshead speed for various high temperatures were conducted to investigate the stress-strain behavior. An instance of yielding occurred at the film temperature of $90^{\circ}C$, and the film stiffness increased with increasing crosshead speed. Two types of viscoelastic models, G'Sell model, K-BKZ model, were used to describe the measured stress-strain relationship. The predicted film thickness distributions were in good agreement with the experimental results.

Design Optimization of GaAs Wafer Bonding Module (GaAs 웨이퍼 본딩모듈의 최적화 설계)

  • 지원호;송준엽;강재훈;한승우
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2003.06a
    • /
    • pp.860-864
    • /
    • 2003
  • Recently. use of compound semiconductor is widely increasing in the area of LED and RF device. In this study, wafer bonding module is designed and optimized to bond 6 inches device wafer and carrier wafer. Bonding process is performed in vacuum environment and resin is used to bond two wafers. Load spreader and double heating mechanisms are adopted to minimize wafer warpage and void. Structure and heat transfer analyses show the designed mechanisms are very effective in performance improvement.

  • PDF

Non-linear Temperature Dependent Deformation Analysis of BGA Package Using Moire Interferometry (모아레 간섭계를 이용한 BGA 패키지의 비선형 열변형 해석)

  • Ju Jin Won;Han Bongtae
    • Proceedings of the International Microelectronics And Packaging Society Conference
    • /
    • 2003.11a
    • /
    • pp.28-32
    • /
    • 2003
  • Thermo-mechanical behavior of a ceramic ball grid array(CBGA) package assembly and wire bond ball grid array(WB-PBGA) package assemblies are characterized by high sensitive moire interferometry. Moire fringe patterns are recorded and analyzed at various temperatures in a temperature cycle. Thermal-history dependent analyses of global and local deformations are presented, and bending deformation(warpage) of the package and shear strain in the rightmost solder ball are discussed. A significant non-linear global behavior is documented due to stress relaxation at high temperature. The locations of the critical solder ball in WB-PBGA package assemblies are documented.

  • PDF

Experimental Analysis of Process Variables in Rapid Prototyping Technique by Using Projection Welding (프로젝션 용접을 이용한 쾌속조형법에서 공정변수의 실험적 해석)

  • Lee Sang-Chan;Park Jeong-Nam
    • Journal of Welding and Joining
    • /
    • v.23 no.2
    • /
    • pp.47-51
    • /
    • 2005
  • Rapid Prototyping (RP) technology has helped successfully to reduce time and costs since first emerged in 1986. Recently, RP using functional materials like as metal have been researched. However RP using molten metal and brazing material have been struggling to resolve several drawbacks, such as dimensional inaccuracy, poor surface finish and post finishing because occurring shrinkage and warpage at cooling. So, the purpose of this study is to develop a new RP technique using sheet metal and projection welding for reducing several drawbacks in occurring RP using molten metal. And optimum process variables were determined using desist of experiment(DOE).