• Title/Summary/Keyword: VLSI testing

Search Result 41, Processing Time 0.024 seconds

Implementation of Pattern Generator for Efficient IDDQ Test Generation in CMOS VLSI (CMOS VLSI의 효율적인 IDDQ 테스트 생성을 위한 패턴 생성기의 구현)

  • Bae, Seong-Hwan;Kim, Gwan-Ung;Jeon, Byeong-Sil
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.38 no.4
    • /
    • pp.292-301
    • /
    • 2001
  • IDDQ Testing is a very effective testing method to detect many kinds of physical defects occurred in CMOS VLSI circuits. In this paper, we consider the most commonly occurring bridging faults in current CMOS technologies and develop pattern generator for IDDQ testing using efficient IDDQ test algorithms. The complete set of bridging faults between every pair of all nodes(internal and external nodes) within circuit under test is assumed as target fault model. The merit of considering the complete bridging fault set is that layout information is not necessary. Implemented test pattern generator uses a new neighbor searching algorithm and fault collapsing schemes to achieve fast run time, high fault coverage, and compact test sets. Experimental results for ISCAS benchmark circuits demonstrate higher efficiency than those of previous methods.

  • PDF

A design of BIST/BICS circuits for detection of fault and defect and their locations in VLSI memories (고집적 메모리의 고장 및 결함 위치검출 가능한 BIST/BICS 회로의 설계)

  • 김대익;배성환;전병실
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.22 no.10
    • /
    • pp.2123-2135
    • /
    • 1997
  • In this paepr, we consider resistive shorts on drain-source, drain-gate, and gate-source as well as opens in MOSFETs included in typical memory cell of VLSI SRAM. Behavior of memeory is observed by analyzing voltage at storage nodes of memeory and IDDQ(quiescent power supply current) through PSPICE simulation. Using this behavioral analysis, an effective testing algorithm of complexity O(N) which can be applied to both functional testing and IDDQ testing simultaeously is proposed. Built-In Self Test(BIST) circuit which detects faults in memories and Built-In Current Sensor(BICS) which monitors the power supply bus for abnormalities in quescent current are developed and imprlemented to improve the quality and efficiency of testing. Implemented BIST and BICS circuits can detect locations of faults and defects in order to repair faulty memories.

  • PDF

The VLSI Implementation of A Usage Parameter Control based on Cell Loss Priority (셀손실 우선순위 기반의 사용 변수제어의 VLSI 구현)

  • 권재우;조태경;최명렬
    • Proceedings of the Korean Information Science Society Conference
    • /
    • 2000.10c
    • /
    • pp.210-212
    • /
    • 2000
  • In this paper, we propose an enhanced usage parameter control algorithm, which is one of the preventive traffic control method in ATM networks and implemented it with VLSI Proposed algorithm is based on the cell loss priority bit in the ATM cell header. This algorithm can solve the measurement phasing problem in cell conformance testing in ATM networks. Proposed algorithm can minimize the cell loss ratio of high priority cell(CLP=0) and resolve the burstiness of cells which may be introduced in traffic multiplexing and demultiplexing procedure. The result of performance evaluation shows that the performance of proposed algorithm is better than that of ITU-T usage parameter control algorithm.

  • PDF

Object Oriented Fault Detection for Fault Models of Current Testing (전류 테스팅 고장모델을 위한 객체기반의 고장 검출)

  • Bae, Sung-Hwan;Han, Jong-Kil
    • The Journal of the Korea institute of electronic communication sciences
    • /
    • v.5 no.4
    • /
    • pp.443-449
    • /
    • 2010
  • Current testing is an effective method which offers higher fault detection and diagnosis capabilities than voltage testing. Since current testing requires much longer testing time than voltage testing, it is important to note that a fault is untestable if the two nodes have same values at all times. In this paper, we present an object oriented fault detection scheme for various fault models using current testing. Experimental results for ISCAS benchmark circuits show the effectiveness of the proposed method in reducing the number of faults and its usefulness in various fault models.

Fault Coverage Metric for Delay Fault Testing (지연 고장 테스팅에 대한 고장 검출율 메트릭)

  • Kim, Myeong-Gyun;Gang, Seong-Ho;Han, Chang-Ho;Min, Hyeong-Bok
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.38 no.4
    • /
    • pp.266-276
    • /
    • 2001
  • Due to the rapid development of semiconductor technology, the complexity of VLSI circuits has heavily increased. With the increased densities of integrated circuits, several different types of faults can occur Thus, testing such circuits is becoming a sever problem. Delay testing can detect system timing failures caused by delay faults. However, the conventional delay fault coverage in terms of the number of detected faults may not be an effective measure of delay testing because, unlike a stuck-at-faults, the impact of a delay fault is dependent on its delay defect size rather than on its existence. Thus, the effectiveness of delay testing is dependent on the propagation delay of the path to be tested, the delay defect size, and the system clock interval. This paper proposes a new delay defect fault coverage that considers both propagation delay of the path to be tested and additional delay defect size. And the relationship between delay defect fault coverage and defect level is analyzed.

  • PDF

Testable Design on the Built In Test Method (고장검출이 용이한 Built-In Test 방식의 설계)

  • Seung Ryong Rho
    • Journal of the Korean Institute of Telematics and Electronics
    • /
    • v.24 no.3
    • /
    • pp.535-540
    • /
    • 1987
  • This paper proposes a circuit partitioning method and a multifunctional BILBO which can perform the multimodule test in the case of testing VLSI circuits. By using these circuit partitioning method and multifunctional BILBO, test time and cost can be reduced greatly by performing the pipeline test method. And the quantity of circuit that shold be added for testing is also reduced in half by interposing only one BILBO between each module. Also, we confirmed that the multifunctional BILBO proposed here has high error detection capability by analyzing error detection capability of this multifunctional BILBO in mathematics.

  • PDF

A design of BIST circuit and BICS for efficient ULSI memory testing (초 고집적 메모리의 효율적인 테스트를 위한 BIST 회로와 BICS의 설계)

  • 김대익;전병실
    • Journal of the Korean Institute of Telematics and Electronics C
    • /
    • v.34C no.8
    • /
    • pp.8-21
    • /
    • 1997
  • In this paper, we consider resistive shorts on gate-source, gate-drain, and drain-source as well as opens in MOS FETs included in typical memory cell of VLSI SRAM and analyze behavior of memory by using PSPICE simulation. Using conventional fault models and this behavioral analysis, we propose linear testing algorithm of complexity O(N) which can be applied to both functional testing and IDDQ (quiescent power supply current) testing simultaneously to improve functionality and reliability of memory. Finally, we implement BIST (built-in self tsst) circuit and BICS(built-in current sensor), which are embedded on memory chip, to carry out functional testing efficiently and to detect various defects at high-speed respectively.

  • PDF

Reconfiguration Problems in VLSI and WSI Cellular Arrays (초대규모 집적 또는 웨이퍼 규모 집적을 이용한 셀룰러 병렬 처리기의 재구현)

  • 한재일
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.18 no.10
    • /
    • pp.1553-1571
    • /
    • 1993
  • A significant amount of research has focused on the development of highly parallel architectures to obtain far more computational power than conventional computer systems. These architectures usually comprise of a large number of processors communicating through an interconnection network. The VLSI (Very Large Scale Integration) and WSI (Wafer Scale Integration) cellular arrays form one important class of those parallel architectures, and consist of a large number of simple processing cells, all on a single chip or wafer, each interconnected only to its neighbors. This paper studies three fundamental issues in these arrays : fault-tolerant reconfiguration. functional reconfiguration, and their integration. The paper examines conventional techniques, and gives an in-depth discussion about fault-tolerant reconfiguration and functional reconfiguration, presenting testing control strategy, configuration control strategy, steps required f4r each reconfiguration, and other relevant topics. The issue of integrating fault tolerant reconfiguration and functional reconfiguration has been addressed only recently. To tackle that problem, the paper identifies the relation between fault tolerant reconfiguration and functional reconfiguration, and discusses appropriate testing and configuration control strategy for integrated reconfiguration on VLSI and WSI cellular arrays.

  • PDF

Signal Processing in Medical Ultrasound B-mode Imaging (의료용 초음파 B-모드 영상을 위한 신호처리)

  • Song, Tai-Kyong
    • Journal of the Korean Society for Nondestructive Testing
    • /
    • v.20 no.6
    • /
    • pp.521-537
    • /
    • 2000
  • Ultrasonic imaging is the most widely used modality among modern imaging device for medical diagnosis and the system performance has been improved dramatically since early 90's due to the rapid advances in DSP performance and VLSI technology that made it possible to employ more sophisticated algorithms. This paper describes "main stream" digital signal processing functions along with the associated implementation considerations in modern medical ultrasound imaging systems. Topics covered include signal processing methods for resolution improvement, ultrasound imaging system architectures, roles and necessity of the applications of DSP and VLSI technology in the development of the medical ultrasound imaging systems, and array signal processing techniques for ultrasound focusing.

  • PDF