• Title/Summary/Keyword: VLSI manufacturing

Search Result 9, Processing Time 0.018 seconds

Specification of a software architecture and protocols for automated VLSI manufacturing system operation (자동화된 VLSI 생산 시스템 운용을 위한 소프트웨어 구조 및 프로토콜 설계)

  • Park, Jong-Hun;Kim, Jong-Won;Kwon, Wook-Hyun
    • Journal of Institute of Control, Robotics and Systems
    • /
    • v.3 no.1
    • /
    • pp.94-100
    • /
    • 1997
  • 본 연구에서는 자동화된 VLSI 제조 시스템 환경에서의 로트 조정기 및 범용 셀 제어기의 구축에 필요한 새로운 소프트웨어 구조 및 프로토콜을 제시하였다. 반도체 제조 시스템의 운용 제어 활동은 로트 조정기와 범용 셀 제어기가 상호 협조적으로 통신하는 클라이언트/서버 구조로 모형화 되었으며, 로트 조정기는 하나 이상의 작업을 수행할 수 있는 범용 셀 제어기에 작업을 의뢰하는 클라이언트로서 작동된다. 반도체 제조 시스템의 운용 소프트웨어와 관련된 기존의 연구들이 개념적인 구조와 전략 만을 다루었던 것과는 달리, 본 연구에서는 생산 설비 뿐만 아니라 물류운반 장치의 제어를 위하여 상세한 수준에서의 설계가 제시되었다. 본 연구의 특징으로는 설비 구성, 로트 형태, 일정 계획 규칙 등의 변경에 대한 동적 재구성 가능성을 들 수 있다. 또한 제안된 설계는 상용화된 프로세스 통신 기능을 사용하여 구현이 용이하다.

  • PDF

A robust controller design for rapid thermal processing in semiconductor manufacturing

  • Choi, Byung-Wook;Choi, Seong-Gyu;Kim, Dong-Sung;Park, Jae-Hong
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 1995.10a
    • /
    • pp.79-82
    • /
    • 1995
  • The problem of temperature control for rapid thermal processing (RTP) in semiconductor manufacturing is discussed in this paper. Among sub=micron technologies for VLSI devices, reducing the junction depth of doped region is of great importance. This paper investigates existing methods for manufacturing wafers, focusing on the RPT which is considered to be good for formation of shallow junctions and performs the wafer fabrication operation in a single chamber of annealing, oxidation, chemical vapor deposition, etc., within a few minutes. In RTP for semiconductor manufacturing, accurate and uniform control of the wafer temperature is essential. In this paper, a robustr controller is designed using a recently developed optimization technique. The controller designed is then tested via computer simulation and compared with the other results.

  • PDF

RRAM (Redundant Random Access Memory) Spare Allocation in Semiconductor Manufacturing for Yield Improvement (수율향상을 위한 반도체 공정에서의 RRAM (Redundant Random Access Memory) Spare Allocation)

  • Han, Young-Shin
    • Journal of the Korea Society for Simulation
    • /
    • v.18 no.4
    • /
    • pp.59-66
    • /
    • 2009
  • This has been possible by integration techniques such as very large scale integration (VLSI) and wafer scale integration (WSI). Redundancy has been extensively used for manufacturing memory chips and to provide repair of these devices in the presence of faulty cells. If there are too many defects, the momory has to be rejected. But if there are a few defects, it will be more efficient and cost reducing for the company to use it by repairing. Therefore, laser-repair process is nedded for such a reason and redundancy analysis is needed to establish correct target of laser-repair process. The proposed CRA (Correlation Repair Algorithm) simulation, beyond the idea of the conventional redundancy analysis algorithm, aims at reducing the time spent in the process and strengthening cost competitiveness by performing redundancy analysis after simulating each case of defect.

서브마이크론 MOSFET의 파라메터 추출 및 소자 특성 (1)

  • 서용진;장의구
    • Electrical & Electronic Materials
    • /
    • v.7 no.2
    • /
    • pp.107-116
    • /
    • 1994
  • In the manufacturing of VLSI circuits, variations of device characteristics due to the slight differences in process parameters drastically aggravate the performances of fabricated devices. Therefore, it is very important to establish optimal process conditions in order to minimize deviations of device characteristics. In this paper, we used one-dimensional process simulator, SUPREM-II, and two dimensional device simulator, MINIMOS 4.0 in order to extract optimal process parameter which can minimize changes of the device characteristics caused by process parameter variation in the case of short channel nMOSFET and pMOSFET device. From this simulation, we have derived the dependence relations between process parameters and device characteristics. Here, we have suggested a method to extract process parameters from design trend curve(DTC) obtained by these dependence relations. And we have discussed short channel effects and device limitations by scaling down MOSFET dimensions.

  • PDF

Burn-in Considering a Trade-Off of Yield and Reliability (수율과 신뢰도의 상충효과를 고려한 번인)

  • Kim, Kyung-Mee
    • IE interfaces
    • /
    • v.20 no.1
    • /
    • pp.87-93
    • /
    • 2007
  • Burn-in is an engineering method for screening out products containing reliability defects which would cause early failures in field operation. Previously, various burn-in models have been proposed mainly focused on the trade-off of shop repair cost and warranty cost ignoring manufacturing yield. From the view point of a manufacturer, however, burn-in decreases warranty cost at the expense of yield reduction. In this paper, we provide a general model quantifying a trade-off between product yield and reliability, in which any defect distribution from previous yield models can be used. A profit function is expressed in burn-in environments for determining an optimal burn-in time. Finally, the method is illustrated with gate oxide failures which is an important reliability concerns for VLSI CMOS circuits.

Phase Error Accumulation Methodology for On-chip Cell Characterization (온 칩 셀 특성을 위한 위상 오차 축적 기법)

  • Kang, Chang-Soo;Im, In-Ho
    • 전자공학회논문지 IE
    • /
    • v.48 no.2
    • /
    • pp.6-11
    • /
    • 2011
  • This paper describes the design of new method of propagation delay measurement in micro and nanostructures during characterization of ASIC standard library cell. Providing more accuracy timing information about library cell (NOR, AND, XOR, etc.) to the design team we can improve a quality of timing analysis inside of ASIC design flow process. Also, this information could be very useful for semiconductor foundry team to make correction in technology process. By comparison of the propagation delay in the CMOS element and result of analog SPICE simulation, we can make assumptions about accuracy and quality of the transistor's parameters. Physical implementation of phase error accumulation method(PHEAM) can be easy integrated at the same chip as close as possible to the device under test(DUT). It was implemented as digital IP core for semiconductor manufacturing process($0.11{\mu}m$, GL130SB). Specialized method helps to observe the propagation time delay in one element of the standard-cell library with up-to picoseconds accuracy and less. Thus, the special useful solutions for VLSI schematic-to-parameters extraction (STPE), basic cell layout verification, design simulation and verification are announced.

The Ion Generation Characteristics of Charge Neutralizer Applied a Pulse Voltage (펄스전압을 적용한 전하중화장치의 이온발생 특성)

  • Moon, Jae-Duk;Chung, Suk-Hwan
    • Journal of Sensor Science and Technology
    • /
    • v.7 no.2
    • /
    • pp.140-146
    • /
    • 1998
  • Methods and systems to remove static electricity are requested necessarily because the static electricity causes a flammable gas explosion, a fire, reduction of production rate in manufacturing VLSI semiconductor device and so on. In this paper, abrasion and dust contaminant of needle electrode are studied experimentally. And, frequencies and pulse durations of a high frequency pulse source were controlled effectively to minimize the abrasion of needle electrode and control generated numbers of ions. As a result, it is verified experimentally that the ion generation of charge neutralizer increases by using a high frequency pulse source.

  • PDF

A Disparate Low Loss DC to 90 GHz Wideband Series Switch

  • Gogna, Rahul;Jha, Mayuri;Gaba, Gurjot Singh;Singh, Paramdeep
    • Transactions on Electrical and Electronic Materials
    • /
    • v.17 no.2
    • /
    • pp.92-97
    • /
    • 2016
  • This paper presents design and simulation of wide band RF microswitch that uses electrostatic actuation for its operation. RF MEMS devices exhibit superior high frequency performance in comparison to conventional devices. Similar techniques that are used in Very Large Scale Integration (VLSI) can be employed to design and fabricate MEMS devices and traditional batch-processing methods can be used for its manufacturing. The proposed switch presents a novel design approach to handle reliability concerns in MEMS switches like dielectric charging effect, micro welding and stiction. The shape has been optimized at actuation voltage of 14-16 V. The switch has an improved restoring force of 20.8 μN. The design of the proposed switch is very elemental and primarily composed of electrostatic actuator, a bridge membrane and coplanar waveguide which are suspended over the substrate. The simple design of the switch makes it easy for fabrication. Typical insertion and isolation of the switch at 1 GHz is -0.03 dB and -71 dB and at 85 GHz it is -0.24 dB and -29.8 dB respectively. The isolation remains more than - 20 db even after 120 GHz. To our knowledge this is the first demonstration of a metal contact switch that shows such a high and sustained isolation and performance at W-band frequencies with an excellent figure-of merit (fc=1/2.pi.Ron.Cu =1,900 GHz). This figure of merit is significantly greater than electronic switching devices. The switch would find extensive application in wideband operations and areas where reliability is a major concern.

A Study on The Improvement of Profile Tilting or Bottom Distortion in HARC (높은 A/R의 콘택 산화막 에칭에서 바닥모양 변형 개선에 관한 연구)

  • Hwang, Won-Tae;Kim, Gli-Ho
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.18 no.5
    • /
    • pp.389-395
    • /
    • 2005
  • The etching technology of the high aspect ratio contact(HARC) is necessary at the critical contact processes of semiconductor devices. Etching the $SiO_{2}$ contact hole with the sub-micron design rule in manufacturing VLSI devices, the unexpected phenomenon of 'profile tilting' or 'bottom distortion' is often observed. This makes a short circuit between neighboring contact holes, which causes to drop seriously the device yield. As the aspect ratio of contact holes increases, the high C/F ratio gases, $C_{4}F_{6}$, $C_{4}F_{8}$ and $C_{5}F_{8}$, become widely used in order to minimize the mask layer loss during the etching process. These gases provide abundant fluorocarbon polymer as well as high selectivity to the mask layer, and the polymer with high sticking yield accumulates at the top-wall of the contact hole. During the etch process, many electrons are accumulated around the asymmetric hole mouth to distort the electric field, and this distorts the ion trajectory arriving at the hole bottom. These ions with the distorted trajectory induce the deformation of the hole bottom, which is called 'profile tilting' or 'bottom distortion'. To prevent this phenomenon, three methods are suggested here. 1) Using lower C/F ratio gases, $CF_{4}$ or $C_{3}F_{8}$, the amount of the Polymer at the hole mouth is reduced to minimize the asymmetry of the hole top. 2) The number of the neighboring holes with equal distance is maximized to get the more symmetry of the oxygen distribution around the hole. 3) The dual frequency plasma source is used to release the excessive charge build-up at the hole mouth. From the suggested methods, we have obtained the nearly circular hole bottom, which Implies that the ion trajectory Incident on the hole bottom is symmetry.