• 제목/요약/키워드: Trench Etching

검색결과 52건 처리시간 0.027초

모터구동 회로 응용을 위한 대전력 전류 센싱 트렌치 게이트 MOSFET (Current Sensing Trench Gate Power MOSFET for Motor Driver Applications)

  • 김상기;박훈수;원종일;구진근;노태문;양일석;박종문
    • 전기전자학회논문지
    • /
    • 제20권3호
    • /
    • pp.220-225
    • /
    • 2016
  • 본 논문은 전류 센싱 FET가 내장되어 있고 온-저항이 낮으며 고전류 구동이 가능한 트렌치 게이트 고 전력 MOSFET를 제안하고 전기적 특성을 분석하였다. 트렌치 게이트 전력 소자는 트렌치 폭 $0.6{\mu}m$, 셀 피치 $3.0{\mu}m$로 제작하였으며 내장된 전류 센싱 FET는 주 전력 MOSFET와 같은 구조이다. 트렌치 게이트 MOSFET의 집적도와 신뢰성을 향상시키기 위하여 자체 정렬 트렌치 식각 기술과 수소 어닐링 기술을 적용하였다. 또한, 문턱전압을 낮게 유지하고 게이트 산화막의 신뢰성을 증가시키기 위하여 열 산화막과 CVD 산화막을 결합한 적층 게이트 산화막 구조를 적용하였다. 실험결과 고밀도 트렌치 게이트 소자의 온-저항은 $24m{\Omega}$, 항복 전압은 100 V로 측정되었다. 측정한 전류 센싱 비율은 약 70 정도이며 게이트 전압변화에 대한 전류 센싱 변화율은 약 5.6 % 이하로 나타났다.

STI--CMP 공정에서 Torn oxide 결함 해결에 관한 연구 (A Study for the Improvement of Torn Oxide Defects in Shallow Trench Isolation-Chemical Mechanical Polishing (STI-CMP) Process)

  • 서용진;정헌상;김상용;이우선;이강현;장의구
    • 한국전기전자재료학회논문지
    • /
    • 제14권1호
    • /
    • pp.1-5
    • /
    • 2001
  • STI(shallow trench isolation)-CMP(chemical mechanical polishing) process have been substituted for LOCOS(local oxidation of silicon) process to obtain global planarization in the below sub-0.5㎛ technology. However TI-CMP process, especially TI-CMP with RIE(reactive ion etching) etch back process, has some kinds of defect like nitride residue, torn oxide defect, etc. In this paper, we studied how to reduced torn oxide defects after STI-CMP with RIE etch back processed. Although torn oxide defects which can occur on trench area is not deep and not severe, torn oxide defects on moat area is not deep and not severe, torn oxide defects on moat area is sometimes very deep and makes the yield loss. Thus, we did test on pattern wafers which go through trench process, APECVD process, and RIE etch back process by using an IPEC 472 polisher, IC1000/SUVA4 PAD and KOH base slurry to reduce the number of torn defects and to study what is the origin of torn oxide defects.

  • PDF

플라즈마 식각방법에 의한 단결정 실리콘의 Two-Step 식각특성 (Two-Step Etching Characteristics of Single-Si by the Plasma Etching Techique)

  • 이진희;박성호;김말문;박신종
    • 대한전자공학회논문지
    • /
    • 제24권1호
    • /
    • pp.91-96
    • /
    • 1987
  • Plasma etching can obtain less damaged etch surface than reactive ion etching. This study was performed to get anisotropic etching characteristics of Si using two step etching technique with C2CIF5 and SF6 gas mixture. The results show that the etch rate and aspect ratio of silicon was increased with increment of SF6 contents. The bulging phenomenon on trench side wall in the plasma one-step etching technique was eliminated by the two step etching technique. The anisotropy was decreased from 12(at 120m Torr) to 2.2(at 400m Torr) with increasing the chamber pressure. At the low rf power (350 watts) anisotrpy of silicon was obtained 7 lower than that of high rf power (650 watts. A:~9). In Summary we obtained anisotropic etching profiles of silicon with e 6\ulcornerm depth by using the plasma two-step etching technique.

  • PDF

A Study of Field-Ring Design using a Variety of Analysis Method in Insulated Gate Bipolar Transistor (IGBT)

  • Jung, Eun Sik;Kyoung, Sin-Su;Chung, Hunsuk;Kang, Ey Goo
    • Journal of Electrical Engineering and Technology
    • /
    • 제9권6호
    • /
    • pp.1995-2003
    • /
    • 2014
  • Power semiconductor devices have been the major backbone for high-power electronic devices. One of important parameters in view of power semiconductor devices often characterize with a high breakdown voltage. Therefore, many efforts have been made, since the development of the Insulated Gate Bipolar Transistor (IGBT), toward having higher level of breakdown voltage, whereby the typical design thereof is focused on the structure using the field ring. In this study, in an attempt to make up more optimized field-ring structure, the characteristics of the field ring were investigated with the use of theoretical arithmetic model and methodologically the design of experiments (DOE). In addition, the IGBT having the field-ring structure was designed via simulation based on the finding from the above, the result of which was also analyzed. Lastly, the current study described the trench field-ring structure taking advantages of trench-etching process having the improved field-ring structure, not as simple as the conventional one. As a result of the simulation, it was found that the improved trench field-ring structure leads to more desirable voltage divider than relying on the conventional field-ring structure.

16Mb DRAM의 중요 기술적 문제점

  • 김창현;신윤승;진대제
    • 전기의세계
    • /
    • 제38권4호
    • /
    • pp.12-19
    • /
    • 1989
  • 16Mb DRAM을 개발하는데 필요한 주요한 기술적인 문제점으로 설계면에서는 전력소모, Noise, Vcc내부 전압강하회로를 들 수 있다. 기술적인 면은 CELL을 어떻게 형상화느냐에 따라 문제가 다르게 나타나나 단차에 따른 photo/etching, 박막의 leakage전류와 reliability, short channel에 따른 transistor특성의 안정화등이 있다. 특히 16Mb에서는 stack형, stack과 trench의 병합형이 cell의 주요형태가 될 전망이다.

  • PDF

초발수 현상을 이용한 나노 잉크 미세배선 제조 (Fabrication of Micro Pattern on Flexible Substrate by Nano Ink using Superhydrophobic Effect)

  • 손수정;조영상;나종주;최철진
    • 한국분말재료학회지
    • /
    • 제20권2호
    • /
    • pp.120-124
    • /
    • 2013
  • This study is carried out to develop the new process for the fabrication of ultra-fine electrodes on the flexible substrates using superhydrophobic effect. A facile method was developed to form the ultra-fine trenches on the flexible substrates treated by plasma etching and to print the fine metal electrodes using conductive nano-ink. Various plasma etching conditions were investigated for the hydrophobic surface treatment of flexible polyimide (PI) films. The micro-trench on the hydrophobic PI film fabricated under optimized conditions was obtained by mechanical scratching, which gave the hydrophilic property only to the trench area. Finally, the patterning by selective deposition of ink materials was performed using the conductive silver nano-ink. The interface between the conductive nanoparticles and the flexible substrates were characterized by scanning electron microscope. The increase of the sintering temperature and metal concentration of ink caused the reduction of electrical resistance. The sintering temperature lower than $200^{\circ}C$ resulted in good interfacial bonding between Ag electrode and PI film substrate.

고종횡비 실리콘 트랜치 건식식각 공정에 관한 연구 (Profile control of high aspect ratio silicon trench etch using SF6/O2/BHr plasma chemistry)

  • 함동은;신수범;안진호
    • 한국재료학회:학술대회논문집
    • /
    • 한국재료학회 2003년도 추계학술발표강연 및 논문개요집
    • /
    • pp.69-69
    • /
    • 2003
  • 최근 trench capacitor, isolation trench, micro-electromechanical system(MEMS), micro-opto-electromechanical system(MOEMS)등의 다양한 기술에 적용될 고종횡비(HAR) 실리콘 식각기술연구가 진행되어 지고 있다. 이는 기존의 습식식각시 발생하는 결정방향에 따른 식각률의 차이에 관한 문제와 standard reactive ion etching(RIE) 에서의 낮은 종횡비와 식각률에 기인한 문제점들을 개선하기 위해 고밀도 플라즈마를 이용한 건식식각 장비를 사용하여 고종횡비(depth/width), 높은 식각률을 가지는 이방성 트랜치 구조를 얻는 것이다. 초기에는 주로 HBr chemistry를 이용한 연구가 진행되었는데 이는 식각률이 낮고 많은양의 식각부산물이 챔버와 시편에 재증착되는 문제가 발생하였다. 또한 SF6 chemistry의 사용을 통해 식각률의 향상은 가져왔지만 화학적 식각에 기인한 local bowing과 같은 이방성 식각의 문제점들로 인해 최근까지 CHF3, C2F6, C4F8, CF4등의 첨가가스를 이용하여 측벽에 Polymer layer의 식각보호막을 형성시켜 이방성 구조를 얻는 multi_step 공정이 일반화 되었다. 이에 본 연구에서는 SF6 chemistry와 소량의 02/HBr의 첨가가스를 이용한 single_step 공정을 통해 공정의 간소화 및 식각 프로파일을 개선하여 최적의 HAR 실리콘 식각공정 조건을 확보하고자 하였다.

  • PDF

Cu 배선 형성을 위한 CMP 특성과 ECP 영향 (Cu CMP Characteristics and Electrochemical plating Effect)

  • 김호윤;홍지호;문상태;한재원;김기호
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 하계학술대회 논문집 Vol.5 No.1
    • /
    • pp.252-255
    • /
    • 2004
  • 반도체는 high integrated, high speed, low power를 위하여 design 뿐만 아니라 재료 측면에서도 많은 변화를 가져오고 있으며, RC delay time을 줄이기 위하여 Al 배선보다 비저항이 낮은 Cu와 low-k material 적용이 그 대표적인 예이다. 그러나, Cu 배선의 경우 dry etching이 어려우므로, 기존의 공정으로는 그 한계를 가지므로 damascene 또는 dual damascene 공정이 소개, 적용되고 있다. Damascene 공정은 절연막에 photo와 RIE 공정을 이용하여 trench를 형성시킨 후 electrochemical plating 공정을 이용하여 trench에 Cu를 filling 시킨다. 이후 CMP 공정을 이용하여 절연막 위의 Cu와 barrier material을 제거함으로서 Cu 배선을 형성하게 된다. Dual damascene 공정은 trench와 via를 동시에 형성시키는 기술로 현재 대부분의 Cu 배선 공정에 적용되고 있다. Cu CMP는 기존의 metal CMP와 마찬가지로 oxidizer를 이용한 Cu film의 화학반응과 연마 입자의 기계가공이 기본 메커니즘이다. Cu CMP에서 backside pressure 영향이 uniformity에 미치는 영향을 살펴보았으며, electrochemical plating 공정에서 발생하는 hump가 CMP 결과에 미치는 영향과 dishing 결과를 통하여 그 영향을 평가하였다.

  • PDF

Sand Blast를 이용한 Glass Wafer 절단 가공 최적화 (Optimization of Glass Wafer Dicing Process using Sand Blast)

  • 서원;구영보;고재용;김구성
    • 한국세라믹학회지
    • /
    • 제46권1호
    • /
    • pp.30-34
    • /
    • 2009
  • A Sand blasting technology has been used to address via and trench processing of glass wafer of optic semiconductor packaging. Manufactured sand blast that is controlled by blast nozzle and servomotor so that 8" wafer processing may be available. 10mm sq test device manufactured by Dry Film Resist (DFR) pattern process on 8" glass wafer of $500{\mu}m's$ thickness. Based on particle pressure and the wafer transfer speed, etch rate, mask erosion, and vertical trench slope have been analyzed. Perfect 500 um tooling has been performed at 0.3 MPa pressure and 100 rpm wafer speed. It is particle pressure that influence in processing depth and the transfer speed did not influence.

Speckle Defect by Dark Leakage Current in Nitride Stringer at the Edge of Shallow Trench Isolation for CMOS Image Sensors

  • Jeong, Woo-Yang;Yi, Keun-Man
    • Transactions on Electrical and Electronic Materials
    • /
    • 제10권6호
    • /
    • pp.189-192
    • /
    • 2009
  • The leakage current in a CMOS image sensor (CIS) can have various origins. Leakage current investigations have focused on such things as cobalt-salicide, source and drain scheme, and shallow trench isolation (STI) profile. However, there have been few papers examining the effects on leakage current of nitride stringers that are formed by gate sidewall etching. So this study reports the results of a series of experiments on the effects of a nitride stringer on real display images. Different step heights were fabricated during a STI chemical mechanical polishing process to form different nitride stringer sizes, arsenic and boron were implanted in each fabricated photodiode, and the doping density profiles were analyzed. Electrons that moved onto the silicon surface caused the dark leakage current, which in turn brought up the speckle defect on the display image in the CIS.