• Title/Summary/Keyword: Thermal etching

Search Result 275, Processing Time 0.025 seconds

Si(100) ETCHING BY THERMAL-ENERGY HYDROGEN ATOMS

  • Kang, Joo-Hyun;Jo, Sam-Keun;John G. Ekerdt
    • Journal of the Korean Vacuum Society
    • /
    • v.6 no.S1
    • /
    • pp.59-65
    • /
    • 1997
  • Efficient Si(100) etching by thermal H atoms at low substrate temperatures has been achieved. Gas-phase etching product $SiH_4$(g) upon H atom bombardment resulting from direct abstraction of $SiH_3$(a) by impinging H atoms was detected with a quadrupole mass spectrometer over the substrate temperature range of 105-408 K Facile depletion of all surface silyl ($SiH_3$) groups the dissociative adsorption product of disilane ($Si_2H_6$) at 105K from Si(100)2$\times$1 by D atoms and continuous regeneration and removal of $SiD_3$(a) were all consumed. These results provide direct evidence for efficient silicon surface etching by thermal hydrogen bombardment at cryogenic temperatures as low as 105K We attribute the high etching efficiency to the formation and stability of $SiH_3$(a) on Si(100) at lowered surface temperatures allowing the $SiH_3$(a) abstraction reaction by additional H atom to produce $SiH_4$((g).

  • PDF

Anisotropic Wet-Etching Process of Si Substrate for Formation of Thermal Vias in High-Power LED Packages (고출력 LED 패키지의 Thermal Via 형성을 위한 Si 기판의 이방성 습식식각 공정)

  • Yu, B.K.;Kim, M.Y.;Oh, T.S.
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.19 no.4
    • /
    • pp.51-56
    • /
    • 2012
  • In order to fabricate through-Si-vias for thermal vias by using wet etching process, anisotropic etching behavior of Si substrate was investigated as functions of concentration and temperature of TMAH solution in this study. The etching rate of 5 wt%, 10 wt%, and 25 wt% TMAH solutions, of which temperature was maintained at $80^{\circ}C$, was $0.76{\mu}m/min$, $0.75{\mu}m/min$, and $0.30{\mu}m/min$, respectively. With changing the temperature of 10 wt% TMAH solution to $20^{\circ}C$ and $50^{\circ}C$, the etching rate was reduced to $0.067{\mu}m/min$ and $0.233{\mu}m/min$, respectively. Through-Si-vias of $500{\mu}m$-depth could be fabricated by etching a Si substrate for 5 hours in 10 wt% TMAH solution at $80^{\circ}C$ after forming same via-pattern on each side of the Si substrate.

Reactive ion Etching Characterization of SiC Film Deposited by Thermal CVD Method for MEMS Application (MEMS 적용을 위한 Thermal CVD 방법에 의해 증착한 SiC막의 반응성 이온 Etching 특성 평가)

  • 최기용;최덕균;박지연;김태송
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.3
    • /
    • pp.299-304
    • /
    • 2004
  • In recent years, silicon carbide has emerged as an important material for MEMS application. In order to fabricate an SiC film based MEMS structure by using chemical etching method, high operating temperature is required due to high chemical stability Therefore, dry etching using plasma is the best solution. SiC film was deposited by thermal CVD at the temperature of 100$0^{\circ}C$ and pressure of 10 torr. SiC was dry etched with a reactive ion etching (RIE) system, using SF$_{6}$/O$_2$ and CF$_4$/O$_2$ gas mixture. Etch rate has been investigated as a function of oxygen concentration in the gas mixture, rf power, working pressure and gas flow rate. Etch rate was measured by surface profiler and FE-SEM. SF$_{6}$/O$_2$ gas mixture showed higher etch rate than CF$_4$/O$_2$ gas mixture. Maximum etch rate appeared at RF Power of 450W. $O_2$ dilute mixtures resulted in an increasing of etch rate up to 40%, and the superior anisotropic cross section was observe

Reactive ion etching characterization of SiC film deposited by thermal CVD method for MEMS application (MEMS 적용을 위한 thermal CVD 방법에 의해 증착한 SiC막의 etching 특성 평가)

  • Choi, Gi-Yong;Choi, Duck-Kyun;Park, Ji-Yeon;Kim, Tae-Song
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.07b
    • /
    • pp.868-871
    • /
    • 2003
  • In recent years, silicon carbide has emerged as an important material for MEMS application. In order to fabricate an SiC film based MEMS structure by using chemical etching method, high operating temperature is required due to high chemical stability. Therefore, dry etching using plasma is the best solution. SiC film was deposited by thermal CVD at the temperature of $1000^{\circ}C$ and pressure of 10 torr. SiC was dry etched with a reactive ion etching (RIE) system, using $SF_6/O_2$ and $CF_4/O_2$ gas mixture. Etch rate have been investigated as a function of oxygen concentration in the gas mixture, RF power, and working pressure. Etch rate was measured by surface profiler and FE-SEM. $SF_6/O_2$ gas mixture has been shown high etch rate than $CF_4/O_2$ gas mixture. Maximum etch rate appeared at 450W of RF power. $O_2$ dilute mixtures resulted in an increasing of etch rate up to 40%, and the superior anisotropic cross section was observed.

  • PDF

Modeling of Polymer Ablation with Excimer Lasers (폴리머 미세가공을 위한 레이저 어블레이션 모델링)

  • Yoon, Kyung-Koo;Bang, Se-Yoon
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.22 no.9 s.174
    • /
    • pp.60-68
    • /
    • 2005
  • To investigate the effects of beam focusing in the etching of polymers with short pulse Excimer lasers, a polymer etching model of SSB's is combined with a beam focusing model. Through the numerical simulation, it was found that in the high laser fluence region, SSB model considering both photochemical and thermal contribution is considered to be suitable to predict the etched hole shape than a simple photochemical etching model. The average temperature distribution into the substance obtained by assuming 1-D heat transfer is found to be fairly similar to the fluence distribution on the ablated surface. The experimental etching data fur polymers are used to give material properties for ablation model. The fitted etch depth curve gives a nice agreement with the experimental data.

The Electrical Properties of GaN Individual Nanorod Devices by Wet-etching of the Nanorod Surface and Annealing Treatment (표면 습식 식각 및 열처리에 따른 GaN 단일 나노로드 소자의 전기적 특성변화)

  • Ji, Hyun-Jin;Choi, Jae-Wan;Kim, Gyu-Tae
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.24 no.2
    • /
    • pp.152-155
    • /
    • 2011
  • Even though nano-scale materials were very advantageous for various applications, there are still problems to be solved such as the stabilization of surface state and realization of low contact resistances between a semiconducting nanowire and electrodes in nano-electronics. It is well known that the effects of contacts barrier between nano-channel and metal electrodes were dominant in carrier transportation in individual nano-electronics. In this report, it was investigated the electrical properties of GaN nanorod devices after chemical etching and rapid thermal annealing for making good contacts. After KOH wet-etching of the contact area the devices showed better electrical performance compared with non-treated GaN individual devices but still didn't have linear voltage-current characteristics. The shape of voltage-current properties of GaN devices were improved remarkably after rapid thermal annealing as showing Ohmic behaviors with further bigger conductivities. Even though chemical etching of the nanorod surfaces could cause scattering of carriers, in here it was shown that the most important and dominant factor in carrier transport of nano-electronics was realization of low contact barrier between nano-channel and metal electrodes surely.

Effect of the Thermal Etching Temperature and SiO2/Al2O3 Ratio of Flexible Zeolite Fibers on the Adsorption/desorption Characteristics of Toluene

  • Ji, Sang Hyun;Yun, Ji Sun
    • Korean Journal of Materials Research
    • /
    • v.29 no.3
    • /
    • pp.143-149
    • /
    • 2019
  • To develop flexible adsorbents for compact volatile organic compound (VOC) air purifiers, flexible as-spun zeolite fibers are prepared by an electrospinning method, and then zeolite particles are exposed as active sites for VOC (toluene) adsorption on the surface of the fibers by a thermal surface partial etching process. The breakthrough curves for the adsorption and temperature programmed desorption (TPD) curves of toluene over the flexible zeolite fibers is investigated as a function of the thermal etching temperature by gas chromatography (GC), and the adsorption/desorption characteristics improves with an increase in the thermal surface etching temperature. The effect of acidity on the flexible zeolite fibers for the removal of toluene is investigated as a function of the $SiO_2/Al_2O_3$ ratios of zeolites. The acidity of the flexible zeolite fibers with different $SiO_2/Al_2O_3$ ratios is measured by ammonia-temperature-programmed desorption ($NH_3-TPD$), and the adsorption/desorption characteristics are investigated by GC. The results of the toluene adsorption/desorption experiments confirm that a higher $SiO_2/Al_2O_3$ ratio of the flexible zeolite fibers creates a better toluene adsorption/desorption performance.

The formation of Si V-groove for optical fiber alignment in optoelectronic devices (광전소자 패키징에서 광섬유 정렬을 위한 Si V-groove 형성)

  • 유영석;김영호
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.6 no.3
    • /
    • pp.65-71
    • /
    • 1999
  • The effects of mask materials and etching solutions on the dimensional accuracy of V-groove were studied for the alignment between optoelectronic devices and optical fibers in optical packaging. PECVD nitride, LPCVD nitride, or thermal oxide($SiO_2$) was used as a mask material. The anisotropic etching solution was KOH(40wt%) or the mixture of KOH and IPA. LPCVB nitride has the best etching selectivity and thermal oxide was etched most rapidly in KOH(40wt%) at $85^{\circ}C$ among the mask materials studied here. The V-groove size enlarged than the designed value. This phenomenon was due to the undercutting benearth the mask layer from the etching toward Si (111) plane. The etch rate of (111) plane wart 0.034 - 0.037 $\mu\textrm{m}$/min in KOH(40wt%). This rate was almost same regardless of mask materials. When IPA added to KOH(40wt%), the etch rate of (100) plane and (111) plane decreased, but etching ratio of (100) to (111) plane increased. Consequently, the undercutting phenomenon due to etching toward (111) plane decreased and the size of V-groove could be controlled more accurately.

  • PDF

Developing Low Cost, High Throughput Si Through Via Etching for LED Substrate (LED용 Si 기판의 저비용, 고생산성 실리콘 관통 비아 식각 공정)

  • Koo, Youngmo;Kim, GuSung;Kim, Sarah Eunkyung
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.19 no.4
    • /
    • pp.19-23
    • /
    • 2012
  • Silicon substrate for light emitting diodes (LEDs) has been the tendency of LED packaging for improving power consumption and light output. In this study, a low cost and high throughput Si through via fabrication has been demonstrated using a wet etching process. Both a wet etching only process and a combination of wet etching and dry etching process were evaluated. The silicon substrate with Si through via fabricated by KOH wet etching showed a good electrical resistance (${\sim}5.5{\Omega}$) of Cu interconnection and a suitable thermal resistance (4 K/W) compared to AlN ceramic substrate.

Plasma etching behavior of RE-Si-Al-O glass (RE: Y, La, Gd)

  • Lee, Jeong-Gi;Hwang, Seong-Jin;Lee, Seong-Min;Kim, Hyeong-Sun
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2010.05a
    • /
    • pp.49.1-49.1
    • /
    • 2010
  • The particle generation during the plasma enhanced process is highly considered as serious problem in the semiconductor manufacturing industry. The material for the plasma processing chamber requires the plasma etching characteristics which are homogeneously etched surface and low plasma etching depth for preventing particulate contamination and high durability. We found that the materials without grain boundaries can prevent the particle generation. Therefore, the amorphous material with the low plasma etching rate may be the best candidate for the plasma processing chamber instead of the polycrystalline materials such as yttria and alumina. Three glasses based on $SiO_2$ and $Al_2O_3$ were prepared with various rare-earth elements (Gd, Y and La) which are same content in the glass. The glasses were plasma etched in the same condition and their plasma etching rate was compared including reference materials such as Si-wafer, quartz, yttria and alumina. The mechanical and thermal properties of the glasses were highly related with cationic field strength (CFS) of the rare-earth elements. We assumed that the plasma etching resistance may highly contributed by the thermal properties of the fluorine byproducts generated during the plasma exposure and it is expected that the Gd containing glass may have the highest plasma etching resistance due to the highest sublimation temperature of $GdF_3$ among three rare-earth elements (Gd, Y and La). However, it is found that the plasma etching results is highly related with the mechanical property of the glasses which indicates the cationic field strength. From the result, we conclude that the glass structure should be analyzed and the plasma etching test should be conducted with different condition in the future to understand the plasma etching behavior of the glasses perfectly.

  • PDF