• 제목/요약/키워드: Silica slurry

검색결과 140건 처리시간 0.028초

연마제 특성에 따른 차세대 금속배선용 Al CMP (chemical mechanical planarization) 슬러리 평가 (Evaluation of Al CMP Slurry based on Abrasives for Next Generation Metal Line Fabrication)

  • 차남구;강영재;김인권;김규채;박진구
    • 한국재료학회지
    • /
    • 제16권12호
    • /
    • pp.731-738
    • /
    • 2006
  • It is seriously considered using Al CMP (chemical mechanical planarization) process for the next generation 45 nm Al wiring process. Al CMP is known that it has a possibility of reducing process time and steps comparing with conventional RIE (reactive ion etching) method. Also, it is more cost effective than Cu CMP and better electrical conductivity than W via process. In this study, we investigated 4 different kinds of slurries based on abrasives for reducing scratches which contributed to make defects in Al CMP. The abrasives used in this experiment were alumina, fumed silica, alkaline colloidal silica, and acidic colloidal silica. Al CMP process was conducted as functions of abrasive contents, $H_3PO_4$ contents and pressures to find out the optimized parameters and conditions. Al removal rates were slowed over 2 wt% of slurry contents in all types of slurries. The removal rates of alumina and fumed silica slurries were increased by phosphoric acid but acidic colloidal slurry was slightly increased at 2 vol% and soon decreased. The excessive addition of phosphoric acid affected the particle size distributions and increased scratches. Polishing pressure increased not only the removal rate but also the surface scratches. Acidic colloidal silica slurry showed the highest removal rate and the lowest roughness values among the 4 different slurry types.

실리카 슬러리의 온도 변화에 따른 산화막의 CMP 특성 (Characteristic of Oxide CMP with the Various Temperatures of Silica Slurry)

  • 고필주;박성우;김남훈;장의구;서용진;이우선
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 추계학술대회 논문집 Vol.17
    • /
    • pp.707-710
    • /
    • 2004
  • Chemical mechanical polishing (CMP) process has been widely used to planarize dielectric layers, which can be applied to the integrated circuits for sub-micron technology. Despite the increased use of CMP process, it is difficult to accomplish the global planarization of in the defect-free inter-level dielectrics (ILD). In this paper, we have investigated slurry properties and CMP performance of silicon dioxide (oxide) as a function of different temperature of slurry. Thermal effects on the silica slurry properties such as pH, particle size, conductivity and zeta potential were studied. Moreover, the relationship between the removal rate (RR) with WIWNU and slurry properties caused by changes of temperature were investigated. Therefore, the understanding of these temperature effects provides a foundation to optimize an oxide CMP Process for ULSI multi-level interconnection technology.

  • PDF

DSS에서 $CeO_2$ 연마제의 첨가량과 분산시간이 TEOS 막에 미치는 특성연구 (A Study on the effect of TEOS film by Dispel8ion Time and Content of $CeO_2$ Abrasive)

  • 서용진;한상준;박성우;이영균;이성일
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2009년도 하계학술대회 논문집
    • /
    • pp.487-487
    • /
    • 2009
  • One of the critical consumables in chemical mechanical polishing (CMP) is a specialized solution or slurry, which typically contains both abrasives and chemicals acting together to planarize films. In single abrasive slurry (SAS), the solid phase consists of only one type of abrasive particle. On the other hand, mixed abrasive slurry (MAS) consists of a mixture of at least two types of abrasive particles. In this paper, we have studied the CMP characteristics of mixed abrasive slurry (MAS) retreated by adding of $CeO_2$ abrasives within 1:10 diluted silica slurry (DSS). The slurry designed for optimal performance should produce reasonable removal rates, acceptable polishing selectivity with respect to the underlying layer, low surface defects after polishing, and good slurry stability. The modified abrasives in MAS are evaluated with respect to their particle size distribution, surface morphology, and CMP performances such as removal rate and non-uniformity. As an experimental result, we obtained the comparable slurry characteristics compared with original silica slurry in the viewpoint of high removal rate and low non-uniformity.

  • PDF

콜로이달 실리카 입자 형상에 따른 CMP 특성에 관한 연구 (A Study on CMP Characteristics According to Shape of Colloidal Silica Particles)

  • 김문성;정해도
    • 대한기계학회논문집A
    • /
    • 제38권9호
    • /
    • pp.1037-1041
    • /
    • 2014
  • 반도체 연마용 슬러리를 이온교환법, 가압방법 및 다단계 주입방법으로 제조하여 입자 크기와 형상에 따른 화학적 기계적 연마에 미치는 영향을 연구하였다. 이온교환법을 이용하여 구형의 콜로이달실리카를 크기별로 입자로 제조하였다. 이렇게 제조한 구형의 실리카를 다시 가압방법을 이용해 입자간의 결합을 유도해 비구형의 형상을 가진 콜로이달 실리카를 제조하였고, 이온교환법과 가압방법의 특징을 살려 실리식산을 다단계로 주입하여 입자 표면과 실리식산의 반응으로, 2~3 개의 입자가 결합한 형상의 콜로이달 실리카를 제조하였다. 이렇게 제조한 입자를 CMP 에 적용하여 콜로이달 실리카의 입자 형상에 따른 연마율을 기존의 상용 슬러리와 비교하였다. pH 가 높을수록 연마율은 높아졌고, 입자가 결합한 비구형의 콜로이달 실리카는 가장 높은 연마율과 양호한 비균일도를 나타내었다.

연마제 첨가량에 따른 Mixed Abrasive Slurry (MAS)의 CMP 특성 고찰 (Improvement of Mixed Abrasive Slurry (MAS) Characteristics According to the Abrasive Adding)

  • 이성일;이영균;박성우;이우선;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2006년도 추계학술대회 논문집 Vol.19
    • /
    • pp.380-381
    • /
    • 2006
  • Chemical mechanical polishing (CMP) technology has been widely used for global planarization of multi-level interconnection for ULSI applications. However, the cost of ownership and cost of consumables are relatively high because of expensive slurry. In this paper, we studied the mixed abrasive slurry (MAS). In order to save the costs of slurry, the original silica slurry was diluted by de-ionized water (DIW). And then, $ZrO_2$, $CeO_2$, and $MnO_2$ abrasives were added in the diluted slurry in order to promote the mechanical force of diluted slurry. We have also investigate the possibility of mixed abrasive slurry for the oxide CMP application.

  • PDF

슬러리 종류에 따른 $SnO_2$ 박막의 광역평탄화 특성 (CMP properties of $SnO_2$ thin film by different slurry)

  • 최권우;이우선;고필주;김태완;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 하계학술대회 논문집 Vol.5 No.1
    • /
    • pp.389-392
    • /
    • 2004
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. we investigated the performance of $SnO_2$-CMP process using commonly used silica slurry, ceria slurry, tungsten slurry. This study shows removal rate and non-uniformity of $SnO_2$ thin film used to gas sensor by using Ceria, Silica, W-Slurry after CMP process. This study also shows the relation between particle size and CMP with particle size analysis of used slurry.

  • PDF

재활용 슬러리를 사용한 2단계 CMP 특성 (Characteristics of 2-Step CMP (Chemical Mechanical Polishing) Process using Reused Slurry)

  • 이경진;서용진;최운식;김기욱;김상용;이우선
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2002년도 추계학술대회 논문집 Vol.15
    • /
    • pp.39-42
    • /
    • 2002
  • Recently, CMP (chemical mechanical polishing) technology has been widely used for global planarization of multi-level interconnection for ULSI applications. However, COO (cost of ownership) and COC (cost of consumables) were relatively increased because of expensive slurry. In this paper, we have studied the possibility of recycle of reused silica slurry in order to reduce the costs of CMP slurry. The post-CMP thickness and within-wafer non-uniformity(WIWNU) were measured as a function of different slurry composition. As a experimental result, the performance of reused slurry with annealed silica abrasive of 2 wt% contents was showed high removal rate and low non-uniformity. Therefore, we propose two-step CMP process as follows In the first-step CMP, we can polish the thick and rough film surface using remaked slurry, and then, in the second-step CMP, we can polish the thin film and fine pattern using original slurry. In summary, we can expect the saving of high costs of slurry.

  • PDF

CMP 실리카 슬러리 입도분석특성 (Aging Effect on CMP slurry)

  • 이우선;고필주;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2003년도 제5회 영호남 학술대회 논문집
    • /
    • pp.12-14
    • /
    • 2003
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP). process was required for the global planarization of inter-metal dielectric (IMD) layer with free-defect. However, as the IMD layer gets thinner, micro-scratches are becoming as major defects. Micro-scratches are generated by agglomerated slurry, solidified and attached slurry in pipe line of slurry supply system. It is well known that the presence of hard and larger size particles in the CMP slurries increases the defect density and surface roughness of the polished wafers. In this paper, we have studied. aging effect the of CMP slurry as a function of particle size. We prepared and compared the self-developed silica slurry by adding of abrasives before and after annealing. As our preliminary experiment results, we could be obtained the relatively stable slurry characteristics comparable to original silica slurry in the slurry aging effect.

  • PDF

입도 분석을 통한 CMP 슬러리 에이징 효과 (CMP slurry aging effect by Particle Size analysis)

  • 신재욱;이우선;최권우;고필주;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2003년도 춘계학술대회 논문집 센서 박막재료 반도체 세라믹
    • /
    • pp.37-40
    • /
    • 2003
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric (IMD) layer with free-defect. However, as the IMD layer gets thinner, micro-scratches are becoming as major defects. Micro-scratches are generated by agglomerated slurry, solidified and attached slurry in pipe line of slurry supply system. It is well known that the presence of hard and larger size particles in the CMP slurries increases the defect density and surface roughness of the polished wafers. In this paper, we have studied aging effect the of CMP slurry as a function of particle size. We prepared and compared the self-developed silica slurry by adding of abrasives before and after annealing. As our preliminary experiment results, we could be obtained the relatively stable slurry characteristics comparable to original silica slurry in the slurry aging effect.

  • PDF

가스센서 $SnO_2$ 박막의 광역평탄화 특성 (CMP properties of $SnO_2$ thin film)

  • 최권우;이우선;박정민;최석조;박도성;김남오
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2004년도 하계학술대회 논문집 C
    • /
    • pp.1600-1604
    • /
    • 2004
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. we investigated the performance of $SnO_2$-CMP process using commonly used silica slurry, ceria slurry, tungsten slurry. This study shows removal rate and nonuniformity of $SnO_2$ thin film used to gas sensor by using Ceria, Silica, W-Slurry after CMP process. This study also shows the relation between partical size and CMP with partical size analysis of used slurry.

  • PDF