• Title/Summary/Keyword: Si Etching

Search Result 872, Processing Time 0.034 seconds

The Characteristics of Residual Films on Silicon Surface $CHF_3/C_2F_6$ Reactive Ion Etching ($CHF_3/C_2F_6$ 플라즈마에 의한 실리콘 표면 잔류막의 특성)

  • 권광호;박형호;이수민;강성준;권오준;김보우;성영권
    • Journal of the Korean Vacuum Society
    • /
    • v.1 no.1
    • /
    • pp.145-152
    • /
    • 1992
  • Si surfaces exposed to CHF3/C2F6 gas plasmas ih reactive ion etching (RIE) have been characterized by X-ray photoelectron spectroscopy (XPS). CHF3/C2F6 gas plasma exposure of Si surface leads to the deposition of residual film containing carbon and fluorine. The narrow scan spectra of C 1s show various bonding states of carbon as C-Si, C-F/H, C-CFx(x $\leq$ 3), C-F, C-F2, and C-F3. The chemical bonding states of fluorine are described with F-Si, F-C and F-O. And the oxygen and silicon are also detected. The effects of parameters for reactive ion etching as CHF3/C2F6 gas ratio, RF power, and pressure are investigated.

  • PDF

A study on efficiency improvement of poly-Si solar cell using a selective etching along the grain boundaries (결정입계 선택적 식각 기법을 적용한 다결정 규소 태양전지의 효율 향상에 관한 연구)

  • 임동건;이수은;박성현;이준신
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 1999.05a
    • /
    • pp.597-600
    • /
    • 1999
  • A solar cell conversion efficiency was degraded by grain boundary effect in polycrystalline silicon To reduce grain boundary effect, we performed a preferential grain boundary etching, POC$_3$ n-type emitter doping, and then ITO film growth on poly- Si. Among the various preferential etchants, Schimmel etch solution exhibited the best result having grain boundary etch depth higher than 10 ${\mu}{\textrm}{m}$. RF magnetron sputter grown ITO films showed a low resistivity of 10$^{-4}$ $\Omega$ -cm and high transmittance of 85 %. With well fabricated poly-Si solar cells, we were able to achieve as high as 15 % conversion efficiency at the input power of 20 mW/$\textrm{cm}^2$.

  • PDF

Dry Etching of Ru Electrodes using O2/Cl2 Inductively Coupled Plasmas

  • Kim, Hyoun Woo
    • Corrosion Science and Technology
    • /
    • v.2 no.5
    • /
    • pp.238-242
    • /
    • 2003
  • The characteristics of Ru etching using $O_2/Cl_2$ plasmas were investigated by employing inductively coupled plasma (ICP) etcher. The changes of Ru etch rate, Ru to $SiO_2$ etch selectivity and Ru electrode etching slope with the gas flow ratio, bias power, total gas flow rate, and source power were scrutinized. A high etching slope (${\sim}86^{\circ}$) and a smooth surface after etching was attained using $O_2/Cl_2$ inductively coupled plasma.

A study on the Etching and Dielectric Properties of PZT Thin Films with Excess Pb Contents (Pb 함량에 따른 PZT 박막의 식각 및 유전특성에 관한 연구)

  • Kim, Kyoung-Tae;Lee, Sung-Gap;Kim, Chang-Il;Lee, Young-Hie
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2000.04b
    • /
    • pp.56-59
    • /
    • 2000
  • In this study, Ferroelectric $Pb(Zr_x,Ti_{1-x})O_3$(x=0.53) thin films were fabricated by the spin-coating on the Pt/Ti/$SiO_2$/Si substrate using the PZT metal alkoxide solutions with various excess Pb contents. Etching of PZT film was performed using planar inductively coupled Ar(20)$/Cl_2/BCl_3$ plasma. The etch rate of PZT film was 2450 ${\AA}/min$ at Ar(20)$/BCl_3$(80) gas mixing ratio and substrate temperature of $80^{\circ}C$. The leakage current densities of before etching and after etching PZT thin film were $6.25\times10^{-8}A/cm^2$, $8.74\times10^{-7}A/cm^2$ with electric field of 0.07MV/em, respectively.

  • PDF

Reactive Ion Etching of Amorphous Semiconductor and Insulator (비정질 반도체 및 절연체의 Reactive Ion Etching)

  • Hur, Chang-Wu;Lee, Kyu-Chung
    • Proceedings of the Korean Institute of Information and Commucation Sciences Conference
    • /
    • v.9 no.1
    • /
    • pp.985-989
    • /
    • 2005
  • 본 논문에서는 비정질 반도체 및 절연체의 etching을 RIE를 사용하여 etching 조건을 결정하는 요인(chamber pressure, gas flow rate, rf power, 온도 등)들을 변화시켜 실험하였고, gas는 비정질 실리콘 박막의 reactive ion etching에 주로 사용되는 $CF_4,\; CF_4+O_2,\;CCl_2F_2,\;CHF_3\;gas$ 등을 사용하였다. 여기서 실리콘 박막의 식각은 $CF_4,\;CCl_2F_2,\;gas$를 그리고 insulator 막인 SiNx 박막의 식각은 $CF_4+O_2,\;CHF_3\;gas$를 사용하였다. 특히 $CCl_2F_2$ gas는 insulator 막인 SiNx 박막과의 식각 selectivity가 6:1로서 우수하기 때문이다. 정확한 control에 의해 높은 수율 (Yield) 을 얻을 수 있어 cost를 절감할 수 있다.

  • PDF

Reactive Ion Etching of InP, InGaAs and InAIAs by SiCl$_4$ and Cl$_2$ Gases: Effects of Gas Flow Rate, rf Power, Process Pressure and Ar Addition (SiCl$_4$와 Cl$_2$가스에 의한 InP, InGaAs 및 InAIAs의 반응성 이온 식각: 가스유량, rf 전력, 공정압력, Ar 첨가의 영향)

  • 유재수;송진동;배성주;정지훈;이용탁
    • Proceedings of the IEEK Conference
    • /
    • 2001.06b
    • /
    • pp.25-28
    • /
    • 2001
  • In this paper, we have investigated the effects of gas flow rate, rf power, process pressure and Ar addition on reactive ion etching of InP, InGaAs and InAlAs using Sic14 and Cl$_2$ gases. The etch rates were measured by using a surface profiler. The etched profiles, sidewall roughness, and surface morphology were observed by scanning electron microscopy and by atomic force microscopy. The selective etching of InGaAs to InP and InAlAs was studied by varying the etching parameters. It was found that Cl$_2$ gas is more efficient for the selective etching of InGaAs to InAlAs than SiCl$_4$ gas. The etch selectivity of InGaAs to InAlAs is strongly dependent on the rf power and the process pressure.

  • PDF

The Fabrication of an Applicative Device for Trench Width and Depth Using Inductively Coupled Plasma and the Bulk Silicon Etching Process

  • Woo, Jong-Chang;Choi, Chang-Auck;Kim, Chang-Il
    • Transactions on Electrical and Electronic Materials
    • /
    • v.15 no.1
    • /
    • pp.49-54
    • /
    • 2014
  • In this study, we carried out an investigation of the etch characteristics of silicon (Si) film, and the selectivity of Si to $SiO_2$ in $SF_6/O_2$ plasma. The etch rate of the Si film was decreased on adding $O_2$ gas, and the selectivity of Si to $SiO_2$ was increased, on adding $O_2$ gas to the $SF_6$ plasma. The optical condition of the Si film with this work was 1,350 nm/min, at a gas mixing ratio of $SF_6/O_2$ (=130:30 sccm). At the same time, the etch rate was measured as functions of the various etching parameters. The X-ray photoelectron spectroscopy analysis showed the efficient destruction of oxide bonds by ion bombardment, as well as the accumulation of high volatile reaction products on the etched surface. Field emission auger electron spectroscopy analysis was used to examine the efficiency of the ion-stimulated desorption of the reaction products.

A Study on the Mask Fabrication Process for X-ray Lithography (X-선 노광용 마스크 제작공정에 관한 연구)

  • 박창모;우상균;이승윤;안진호
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.7 no.2
    • /
    • pp.1-6
    • /
    • 2000
  • X-ray lithography mask with SiC membrane and Ta absorber patterns has been fabricated using ECR plasma CVD, d.c. magnetron sputtering, and ECR plasma etching. The stress of stoichiometric SiC film was adjusted by rapid thermal annealing under $N_2$, ambient. Adjusting the working pressure during sputtering process resulted in a near-zero residual stress, reasonable density, and smooth surface morphology of Ta film. Cl-based plasma showed a good etching characteristics of Ta, and two-step etching process was implemented to suppress microloading effect fur sub-quarter $\mu\textrm{m}$ patterning.

  • PDF

A Study for Stable End Point Detection in 90 nm WSix/poly-Si Stack-down Gate Etching Process (90 nm급 텅스텐 폴리사이드 게이트 식각공정에서 식각종말점의 안정화에 관한 연구)

  • Ko, Yong-Deuk;Chun, Hui-Gon;Lee, Jing-Hyuk
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.18 no.3
    • /
    • pp.206-211
    • /
    • 2005
  • The device makers want to make higher density chips on the wafer through scale-down. The change of WSix/poly-Si gate film thickness is one of the key issues under 100 nm device structure. As a new device etching process is applied, end point detection(EPD) time delay was occurred in DPS+ poly chamber of Applied Materials. This is a barrier of device shrink because EPD time delay made physical damage on the surface of gate oxide. To investigate the EPD time delay, the experimental test combined with OES(Optical Emission Spectroscopy) and SEM(Scanning Electron Microscopy) was performed using patterned wafers. As a result, a EPD delay time is reduced by a new chamber seasoning and a new wavelength line through plasma scan. Applying a new wavelength of 252 nm makes it successful to call corrected EPD in WSix/poly-Si stack-down gate etching in the DPS+ poly chamber for the current and next generation devices.