• 제목/요약/키워드: Self-Alignment

Search Result 145, Processing Time 0.029 seconds

Mirrors and Optomechanical Structures Design and Analysis for Linear Astigma-tism Free Three Mirror System (LAF-TMS)

  • Park, Woojin;Hammar, Arvid;Lee, Sunwoo;Chang, Seunghyuk;Kim, Sanghyuk;Jeong, Byeongjoon;Kim, Geon Hee;Kim, Daewook;Pak, Soojong
    • The Bulletin of The Korean Astronomical Society
    • /
    • v.43 no.2
    • /
    • pp.55.4-56
    • /
    • 2018
  • Linear Astigmatism Free - Three Mirror System (LAF-TMS) is the linear astigmatism free off-axis wide field telescope with D = 150 mm, F/3.3, and $FOV=5.51^{\circ}{\times}4.13^{\circ}$. We report the design and analysis results of its mirrors and optomechanical structures. Tolerance allowance has been analyzed to the minimum mechanical tolerance of ${\pm}0.05mm$ that is reasonable tolerance for fabrication and optical alignment. The aluminum mirrors are designed with mounting flexure features for the strain-free mounting. From Finite Element Analysis (FEA) results of mounting torque and self-weight, we expect 33 - 80 nm RMS mirror surface deformations. Shims and the L-bracket are mounted between mirrors and the mirror mount for optical alignment. The mirror mount is designed with four light-weighted mechanical parts. It can stably and accurately fix mirrors, and it also suppresses some of stray light.

  • PDF

Self-Aligned Offset Poly-Si TFT using Photoresist reflow process (Photoresist reflow 공정을 이용한 자기정합 오프셋 poly-Si TFT)

  • Yoo, Juhn-Suk;Park, Cheol-Min;Min, Byung-Hyuk;Han, Min-Koo
    • Proceedings of the KIEE Conference
    • /
    • 1996.07c
    • /
    • pp.1582-1584
    • /
    • 1996
  • The polycrystalline silicon thin film transistors (poly-Si TFT) are the most promising candidate for active matrix liquid crystal displays (AMLCD) for their high mobilities and current driving capabilities. The leakage current of the poly-Si TFT is much higher than that of the amorphous-Si TFT, thus larger storage capacitance is required which reduces the aperture ratio fur the pixel. The offset gated poly-Si TFTs have been widely investigated in order to reduce the leakage current. The conventional method for fabricating an offset device may require additional mask and photolithography process step, which is inapplicable for self-aligned source/drain ion implantation and rather cost inefficient. Due to mis-alignment, offset devices show asymmetric transfer characteristics as the source and drain are switched. We have proposed and fabricated a new offset poly-Si TFT by applying photoresist reflow process. The new method does not require an additional mask step and self-aligned ion implantation is applied, thus precise offset length can be defined and source/drain symmetric transfer characteristics are achieved.

  • PDF

Drag Force on Bubbles for Fluidic Self-Assembly (유체 자가-조립을 위한 버블 항력 연구)

  • Im, Hyeon-Seung;Lee, Sung-Ho
    • Transactions of the Korean Society of Mechanical Engineers B
    • /
    • v.36 no.1
    • /
    • pp.47-54
    • /
    • 2012
  • We developed a novel method of fluidic self-assembly to replace the conventional pick-and-place method. This method is cheaper and more effective than the previous method. For this research, we compared mathematical models with experimental results using the parameters of the drag force, the capillary force, and the restoring force for effective chip assembly, and the results for the alignment to the substrate. We obtained a 96.5% attach rate and $5^{\circ}$-misalignment to the substrate in a 500 ${\mu}m$ solder ball.

Morphological and Photoluminescence Characteristics of Laterally Self-aligned InGaAs/GaAs Quantum-dot Structures (수평 자기정렬 InGaAs/GaAs 양자점의 형태 및 분광 특성 연구)

  • Kim J. O.;Choe J. W.;Lee S. J.;Noh S. K.
    • Journal of the Korean Vacuum Society
    • /
    • v.15 no.1
    • /
    • pp.81-88
    • /
    • 2006
  • Laterally self-aligned InGaAs/GaAs quantum-dots (QDs) have been fabricated by using a multilayer stacking technique. For the growth optimization, we vary the number of stacks and the growth temperature in the ranges of 1-15 periods and $500-540^{\circ}C$. respectively, Atomic force microscope (AFM) images and photoluminescence (PL) spectra reveal that the lateral alignment of QDs is enhanced in extended length by an increased stack period, but severely degrades into film-like wires above a critical growth temperature. The morphological and the photoluminescence characteristics of laterally self-aligned InGaAs QDs have been analyzed through mutual comparisons among four samples with different parameters. An anisotropic arrangement develops with increasing number of stacks, and high-temperature capping allows isolated QDs to be spontaneously organized into a one-dimensionally aligned chain-like shape over a few ${\mu}m$, Moreover, the migration time allowed by growth interruption plays an additional important role in the chain arrangement of QDs. The QD chains capped at high temperature exhibit blue shifts in the emission energy, which may be attributed to a slight outdiffusion of In from the InGaAs QDs.

Self-aligned Offset Gated Poly-Si TFTs by Employing a Photo Resistor Reflow Process (Photo Resistor Reflow 방법을 이용한 오프셋 마스크를 이용하지 않는 새로운 자기 정합 폴리 실리콘 박막 트랜지스터)

  • Park, Cheol-Min;Min, Byung-Hyuk;Han, Min-Koo
    • Proceedings of the KIEE Conference
    • /
    • 1995.07c
    • /
    • pp.1085-1087
    • /
    • 1995
  • A large leakage current may be one of the critical issues for poly-silicon thin film transistors(poly-Si TFTs) for LCD applications. In order to reduce the leakage current of poly-Si TFTs, several offset gated structures have been reported. However, those devices, where the offset length in the source region is not same as that in the drain region, exhibit the asymmetric electrical performances such as the threshold voltage shift and the variation of the subthreshold slope. The different offset length is caused by the additional mask step for the conventional offset structures. Also the self-aligned implantation may not be applicable due to the mis-alignment problem. In this paper, we propose a new fabrication method for poly-Si TFTs with a self-aligned offset gated structure by employing a photo resistor reflow process. Compared with the conventional poly-Si TFTs, the device is consist of two gate electrodes, of which one is the entitled main gate where the gate bias is employed and the other is the entitled subgate which is separate from both sides of the main gate. The poly-Si channel layer below the offset oxide is protected from the injected ion impurities for the source/drain implantation and acts as an offset region of the proposed device. The key feature of our new device is the offset lesion due to the offset oxide. Our experimental results show that the offset region, due to the photo resistor reflow process, has been successfully obtained in order to fabricate the offset gated poly-Si TFTs. The advantages of the proposed device are that the offset length in the source region is the same as that in the drain region because of the self-aligned implantation and the proposed device does not require any additional mask process step.

  • PDF

Fabrication of a Bottom Electrode for a Nano-scale Beam Resonator Using Backside Exposure with a Self-aligned Metal Mask

  • Lee, Yong-Seok;Jang, Yun-Ho;Bang, Yong-Seung;Kim, Jung-Mu;Kim, Jong-Man;Kim, Yong-Kweon
    • Journal of Electrical Engineering and Technology
    • /
    • v.4 no.4
    • /
    • pp.546-551
    • /
    • 2009
  • In this paper, we describe a self-aligned fabrication method for a nano-patterned bottom electrode using flood exposure from the backside. Misalignments between layers could cause the final devices to fail after the fabrication of the nano-scale bottom electrodes. A self-alignment was exploited to embed the bottom electrode inside the glass substrate. Aluminum patterns act as a dry etching mask to fabricate glass trenches as well as a self-aligned photomask during the flood exposure from the backside. The patterned photoresist (PR) has a negative sidewall slope using the flood exposure. The sidewall slopes of the glass trench and the patterned PR were $54.00^{\circ}$ and $63.47^{\circ}$, respectively. The negative sidewall enables an embedment of a gold layer inside $0.7{\mu}m$ wide glass trenches. Gold residues on the trench edges were removed by the additional flood exposure with wet etching. The sidewall slopes of the patterned PR are related to the slopes of the glass trenches. Nano-scale bottom electrodes inside the glass trenches will be used in beam resonators operating at high resonant frequencies.

Development of auto-alignment punching system and de-burring (자동 정렬 펀칭 시스템의 개발과 디버링)

  • 홍남표;신홍규;김병희;김헌영
    • Proceedings of the Korean Society for Technology of Plasticity Conference
    • /
    • 2003.05a
    • /
    • pp.434-438
    • /
    • 2003
  • The shearing process for the sheet metal is normally used in the precision elements such as semi-conductor components. In these precision elements, the burr formation brings a bad effect on the system assembly and demands the additional de-burring process. In this paper, we have developed the desktop-type precision punching system to investigate the burr formation mechanism and present kinematically Punch-die auto aligning methodology, for the purpose of burr unifomizing and minimizing, between the rectangular shaped punch and die. By using the scanning electron microscope, the aligned punching results are compared with the miss-aligned ones. Also, we measured the relative burr heights using the self-designed laser measuring device for insitu self aligning. Since it is hard to get the perfect, so called, burr-free edges during the shearing process, we introduced the ultrasonic do-burring machine. The de-burring operation was carried out by a novel do-burring method, the reversal flow resistance method, under different machining loads and abrasive types. The final do-burring results show the validity of our punching do-burring system pursuing the burr-free punched elements.

  • PDF

A Study of Maslow Need and Make-up Behavior of Women by Body Cathexis and Make-up Attitude (신체 만족도와 화장 태도에 따른 성인 여성의 매슬로우 욕구와 화장 행동에 관한 연구)

  • Choi Su-Koung;Kang Koung-Ja
    • The Research Journal of the Costume Culture
    • /
    • v.14 no.3 s.62
    • /
    • pp.497-513
    • /
    • 2006
  • The women selected by random sampling were classified into four groups by their body cathexis and Make-up attitude in order to investigate differences in Maslow Need and Make-up behavior. Cluster was classified into four groups: positive congruity(G1), positive incongruity(G2), negative congruity(G3), negative incongruity(G4). The result are as follows: Four groups showed meaningful difference each other between the peculiarity of need. That is, this thesis examined the strength of seven needs and found out that G1 group had the strongest needs in seven ones, comparing with four groups. Next, this thesis found out that G2 had the strongest ones in belonging need, self-respect need, and aesthetic need, and that G4 had the strongest ones in physiology need, self-realization need, and recognition need. So it showed somewhat a little difference among groups. G1>G2>G3>G4, in order, emphasized fashion, alignment, ostentation, and tool in Make-up behaviors, and customs, and G1>G2>G4>G3, in order, emphasized respective peculiarity.

  • PDF

Patterning of poly(3,4-ethylenedioxythiophene)(PEDOT) Thin Films by Using Self-assembled Monolayers(SAMs) Patterns Formed by Ultra-violet(UV) Lithography (UV를 사용한 SAMs 패터닝과 PEDOT의 선택적 증착에 관한 연구)

  • Kwon, T.W.;Lee, J.;Lee, J.G.
    • Korean Journal of Materials Research
    • /
    • v.16 no.10
    • /
    • pp.619-623
    • /
    • 2006
  • Selective vapor deposition of conductive poly(3,4-ethylenedioxythiophene) (PEDOT), thin films has been carried out on self assembled monolayers patterned oxide substrate. Since the 3,4-ethylenedioxythiophene(EDOT) monomer can be polymerized only in the presence of oxidant such as $FeCl_3$, the PEDOT thin film is selectively deposited on patterned $FeCl_3$, which only adsorbs on the partly removed SAMs region due to the inability of $FeCl_3$ to adsorb on SAMs. Therefore, the partly removed SAMs can act as an adsorption layer for the $FeCl_3$ and also as a glue layer for the deposition of PEDOT, resulting in the significantly increased adhesion of PEDOT to $SiO_2$ substrate. The use of UV lithography and Cr patterned quartz mask provided the formation of SAMs patterns on oxide substrates, which allowed for the selective deposition of conductive PEDOT thin films.$^{oo}The$ new process was successfully developed for the selective deposition of PEDOT thin films on SAMs patterned oxide substrate, providing a new way for the patterning of vapor phase deposition of PEDOT thin films with accurate alignment and addressing the inherent adhesion issues between PEDOT and dielectrics.

High-Performance Single-Crystal Organic Nanowire Field-Effect Transistors of Indolocarbazole Derivatives

  • Park, Gyeong-Seon;Jeong, Jin-Won;Seong, Myeong-Mo
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.368-368
    • /
    • 2012
  • We report solution-processed, high-performance single-crystal organic nanowire transistors fabricated from a novel indolocarbazole (IC) derivative. The direct printing process was utilized to generate single-crystal organic nanowire arrays enabling the simultaneous synthesis, alignment and patterning of nanowires using molecular ink solutions. Using this method, single-crystal organic nanowires can easily be synthesized by self-assembly and crystallization of organic molecules within the nanoscale channels of molds, and these nanowires can then be directly transferred to specific positions on substrates to generate nanowire arrays by a direct printing process. These new molecules are particularly suitable for p-channel organic field-effect transistors (OFETs) because of the high level of crystallinity usually found in IC derivatives. Selected area diffraction (SAED) and X-ray diffraction (XRD) experiments on these solution-processed nanowires showed high crystallinity. Transistors fabricated with these nanowires gave a hole mobility as high as 1.0 cm2V-1s-1 with nanowire arrays with the direct printing process.

  • PDF