• 제목/요약/키워드: S-300 system

Search Result 950, Processing Time 0.025 seconds

Forecasting a Gyeongju's Local Society Change Using Urban Dynamics Model (도시동태모델을 이용한 경주 지역사회변화 예측)

  • Lee, Young-Chan
    • Korean Management Science Review
    • /
    • v.25 no.3
    • /
    • pp.27-43
    • /
    • 2008
  • This study analyzes the changes of Gyeongju local society because of setting up low and intermediate level radioactive waste disposal site by using urban dynamics model. Specifically, after examining 'Gyeongju Long-Term Development Plan' announced in 2007, I establish the number of industries, population, gross local product, residents' income, and the long term employment condition as essential change-causing factors in Gyeongju local society based on the Big3 government project, and forecast it by using 'Gyeongju long-Term Development Plan' and all sorts of statistical data. In this stage, I assume 3 scenarios(basic, optimistic, and pessimistic view) to estimate the changes of local society more exquisitely, and scenarios are composed through mediation about variables of a growth rate and an inflow or outflow rate. The result shows that Gyeonaju local society would have growing changes by 2020. The essential change-causing factors are as follows. The case of population is estimated that it starts going down at the level of approximately 270 thousand by 2009, starts going up continuously after 2009, the year of completion of low and intermediate level radioactive waste disposal site, and increases from the level of about 300 thousand as minimum to 340 thousand as maximum in 2020. The estimates of other cases are made that the number of Industries has about 10 thousand increases, gross local product has almost 6 trillion increases, nominal gross national income doubles, as well as residences have approximately 280 thousand increases, and also made that employment condition also improves continuously, and diffusion ratio of house starts going up but the amount of supplies is a little bit insufficient in the long view.

MEMS-Based Micro Sensor Detecting the Nitrogen Oxide Gases (산화질소 검출용 마이크로 가스센서 제조공정)

  • Kim, Jung-Sik;Yoon, Jin-Ho;Kim, Bum-Joon
    • Korean Journal of Materials Research
    • /
    • v.23 no.6
    • /
    • pp.299-303
    • /
    • 2013
  • In this study, a micro gas sensor for $NO_x$ was fabricated using a microelectromechanical system (MEMS) technology and sol-gel process. The membrane and micro heater of the sensor platform were fabricated by a standard MEMS and CMOS technology with minor changes. The sensing electrode and micro heater were designed to have a co-planar structure with a Pt thin film layer. The size of the gas sensor device was about $2mm{\times}2mm$. Indium oxide as a sensing material for the $NO_x$ gas was synthesized by a sol-gel process. The particle size of synthesized $In_2O_3$ was identified as about 50 nm by field emission scanning electron microscopy (FE-SEM). The maximum gas sensitivity of indium oxide, as measured in terms of the relative resistance ($R_s=R_{gas}/R_{air}$), occurred at $300^{\circ}C$ with a value of 8.0 at 1 ppm $NO_2$ gas. The response and recovery times were within 60 seconds and 2 min, respectively. The sensing properties of the $NO_2$ gas showed good linear behavior with an increase of gas concentration. This study confirms that a MEMS-based gas sensor is a potential candidate as an automobile gas sensor with many advantages: small dimension, high sensitivity, short response time and low power consumption.

Dry Etching of GaAs and AlGaAs Semiconductor Materials in High Density BCl3and BCl3/Ar Inductively Coupled Plasmas (BCl3및 BCl3/Ar 고밀도 유도결합 플라즈마를 이용한 GaAs와 AlGaS 반도체 소자의 건식식각)

  • Lim, Wan-tae;Baek, In-kyoo;Lee, Je-won;Cho, Guan-Sik;Jeon, Min-hyun
    • Korean Journal of Materials Research
    • /
    • v.13 no.10
    • /
    • pp.635-639
    • /
    • 2003
  • We investigated dry etching of GaAs and AiGaAs in a high density planar inductively coupled plasma system with BCl$_3$and BCl$_3$/Ar gas chemistry. A detailed etch process study of GaAs and ALGaAs was peformed as functions of ICP source power, RIE chuck power and mixing ratio of $BCl_3$ and Ar. Chamber process pressure was fixed at 7.5 mTorr in this study. The ICP source power and RIE chuck power were varied from 0 to 500 W and from 0 to 150 W, respectively. GaAs etch rate increased with the increase of ICP source power and RIE chuck power. It was also found that etch rates of GaAs in $15BCi_3$/5Ar plasmas were relatively high with applied RIE chuck power compared to pure 20 sccm $BCl_3$plasmas. The result was the same as AlGaAs. We expect that high ion-assisted effect in $BCl_3$/Ar plasma increased etch rates of both materials. The GaAs and AlGaAs features etched at 20 sccm $BCl_3$and $15BCl_3$/5Ar with 300 W ICP source power, 100 W RIE chuck power and 7.5 mTorr showed very smooth surfaces(RMS roughness < 2 nm) and excellent sidewall. XPS study on the surfaces of processed GaAs also proved extremely clean surfaces of the materials after dry etching.

Cash flow Forecasting in International Construction Projects through Categorized Risk Analysis (특성별 리스크 분석을 통한 해외건설공사 현금흐름 예측에 관한 연구)

  • Yeom, Sang-Min;Han, Seung-Heon;Kim, Du-Yeon;Nam, Ha-Na;Park, Hee-Dae
    • Proceedings of the Korean Institute Of Construction Engineering and Management
    • /
    • 2006.11a
    • /
    • pp.295-300
    • /
    • 2006
  • In this research, risk factors which can raise project cost are identified in the initial stage and picked out through the decision maker's baseline. And also this probable risks are implemented to the project cash flow to estimate the contingency and to build a risk management system in the level of project. The risks that affect the projects profits were classified in two categories in the risk checklist. Firstly, financial risks derived from the external economic conditions for example exchange rate, escalation, interest rates etc. are analyzed through the stochastic methods, Monte-Carlo Simulation. Secondly, the project individual risks which are come from the project characteristics, for example country risk, clime, owner etc., are evaluated using the utility curve of the decision maker. Finally these risk analysis methods are used to forecast the actual project cash flow and final profit.

  • PDF

Influence of glide path on the screw-in effect and torque of nickel-titanium rotary files in simulated resin root canals

  • Ha, Jung-Hong;Park, Sang-Shin
    • Restorative Dentistry and Endodontics
    • /
    • v.37 no.4
    • /
    • pp.215-219
    • /
    • 2012
  • Objectives: The purpose of this study was to investigate the screw-in effect and torque generation depending on the size of glide path during root canal preparation. Materials and Methods: Forty Endo-Training Blocks (REF A 0177, Dentsply Maillefer) were used. They were divided into 4 groups. For groups 1, 2, 3, and 4, the glide path was established with ISO #13 Path File (Dentsply Maillefer), #15 NiTi K-file NITIFLEX (Dentsply Maillefer), modified #16 Path File (equivalent to #18), and #20 NiTi K-file NITIFLEX, respectively. The screw-in force and resultant torque were measured using a custom-made experimental apparatus while canals were instrumented with ProTaper S1 (Dentsply Maillefer) at a constant speed of 300 rpm with an automated pecking motion. A statistical analysis was performed using one-way analysis of variance and the Duncan post hoc comparison test. Results: Group 4 showed lowest screw-in effect ($2.796{\pm}0.134$) among the groups (p < 0.05). Torque was inversely proportional to the glide path of each group. In #20 glide path group, the screw-in effect and torque decreased at the last 1 mm from the apical terminus. However, in the other groups, the decrease of the screw-in effect and torque did not occur in the last 1 mm from the apical terminus. Conclusions: The establishment of a larger glide path before NiTi rotary instrumentation appears to be appropriate for safely shaping the canal. It is recommended to establish #20 glide path with NiTi file when using ProTaper NiTi rotary instruments system safely.

Development of Small-sized SQUID and Direct-coupled Electronics for High-$T_c$ Scanning SQUID Microscope (소형 SQUID, 직접 되먹임 방식 전자회로, 고온초전도 SQUID 주사현미경의 개량)

  • Baek, B.;Lee, S. M;Yun, J. H.;Khim, Z. G.
    • Progress in Superconductivity
    • /
    • v.3 no.1
    • /
    • pp.78-82
    • /
    • 2001
  • The spatial resolution of $high-T_{c}$ scanning SQUID microscope is limited by the washer size of SQUID and the gap distance between SQUID sensor and the sample. In this work, we tried to improve the spatial resolution of scanning SQUID microscope by reducing the size of SQUID sensor fabricated with $YBa_2$$Cu_3$$O_{7}$ thin film. Outer dimensions of the SQUiDs we tested are 24 $\mu\textrm{m}$ $\times$ $ 28\mu\textrm{m}$, $12 \mu\textrm{m}$ $\times$ $16\mu\textrm{m}$, $12\mu\textrm{m}$ x $12\mu\textrm{m}$, $10 \mu\textrm{m}$ $\times$ $10 \mu\textrm{m}$ each. To operate them in the flux-locked loop scheme, we used a direct-coupled electronics instead of using conventional electronics involving a modulation scheme. Since the direct-coupled feedback scheme does not require modulation current adjustment that poses as a practical difficulty in the SQUID operation in modulation-scheme, the direct feedback operation is rather simpler than the conventional modulation method. The resulting noise features were dominated by the noise of preamp in FLL electronics except that of the largest SQUID. The noise levels of SQUIDs are expected below 1$\times$$10^{-5}$ $\Phi_{0}$H $z^{1}$2/ (at 300 Hz), that is a typical noise level for SQUID made of $YBa_2$C $u_3$$O_{7}$ thin film. The data acquisition and motion-controlling parts were also improved, resulting in faster data acquisition rate and less vibration of the system.m.

  • PDF

A Study on the Analysis of Korean Adults' Bead-type and the Distribution of Size for Improving the Fitness of Swimming Headgear (수영모 맞음새 개선을 위한 한국인의 두형분석과 치수분포에 관한 연구)

  • Kim, In-Sook;Kwon, Myoung-Sook;Yang, Min-Jae
    • Journal of the Korean Society of Clothing and Textiles
    • /
    • v.29 no.8 s.145
    • /
    • pp.1079-1091
    • /
    • 2005
  • The purpose of this study was to point out the unification of the size of swimming headgear by measuring detailed measurement of head ferm and systematizes the head form. A total of 300 male and female Korean adults aged from 18 years old to 35 years old were measured through the direct measurement method. This study attempted to identify the head form of male and female adults using measurement data and the head form was classified through factor analysis and cluster analysis. (1) Based on the fact that this study especially focuses on the size of swimming headgear, factor analysis was performed far both direct measurements with hair and without hair. For the measurements with hair, seven factors were extracted. They were head thickness factor, head width factor, width of side head factor, height of back head factor, length of front head factor, circumference of front head factor, thickness of front head factor and head height factor. They explained the $70.95\%$ of the measurements. (2) The cluster analysis was executed to classify the somatotype of the korean head form on the basis of similarity. According to the cluster analysis result, the measurements with hair categorized types, 'Woman's long-hair type', 'Small type', 'Long and flat type', 'Large type'. (3) Head circumference B and Left tragion - Vertex - Right tragion circumference which are generally length and width in choosing swimming headgear size were selected as measurement items far size of swimming headgear. Direct measurements and sizes of four swimming headgear with different materials taking into account their elongation rate were also compared.

A Study on the Characteristic of MOS structure using $HfO_{2}$ as high-k gate dielectric film ($HfO_{2}$를 이용한 MOS 구조의 제작 및 특성)

  • Park, C.I.;Youm, M.S.;Park, J.W.;Kim, J.W.;Sung, M.Y.
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2002.11a
    • /
    • pp.163-166
    • /
    • 2002
  • We investigated structural and electrical properties of Metal-Oxide-Semiconductor(MOS) structure using Hafnium $oxide(HfO_{2})$ as high-k gate dielectric material. $HfO_{2}$ films are ultrathin gate dielectric material witch have a thickness less than 2.0nm, so it is spotlighted to be substituted $SiO_{2}$ as gate dielectric material. In this paper We have grown $HfO_{2}$ films with pt electrode on P-type Silicon substrate by RF magnetron sputtering system using $HfO_{2}$ target and oserved the property of semiconductor-oxide interface. Using pt electrode, it is necessary to be annealed at ${300^{\circ}C}$. This process is to increase an adhesion ratio between $HfO_{2}$ films with pt electrode. In film deposition process, the deposition time of $HfO_{2}$ films is an important parameter. Structura1 properties are invetigated by AES depth profile, and electrical properties by Capacitance-Voltage characteristic. Interface trap density are measured to observe the interface between $HfO_{2}$ with Si using High-frequency(1MHz) C-V and Quasi - static C-V characteristic.

  • PDF

Development of Nano Ceramic Structures for HEPA Type Breathing Wall (HEPA Filter형 숨쉬는 벽체용 나노세라믹 여재개발)

  • Kim, Jong-Won;Ahn, Young-Chull;Kim, Gil-Tae
    • Korean Journal of Air-Conditioning and Refrigeration Engineering
    • /
    • v.20 no.4
    • /
    • pp.274-279
    • /
    • 2008
  • In the perspective of saving energy in buildings, high performance of insulation and air tightness for improving the heating and the cooling efficiency has brought the positive effect in an economical view. However, these building energy saving technologies cause the lack of ventilation, which is the direct cause of increasing the indoor contaminants, and it is also very harmful to residents because they spend over 90% of their time in the indoor area. Therefore, the ventilation is important to keep indoor environment clean and it can also save energy consumption. In this study, a HEPA type breathing wall is designed as a passive ventilation system to collect airborne particles and to supply fresh outdoor air. To make fine porous structures, polymer nano fibers which were made by electro spinning method are used as a precursor. The nano fibers are coated with SiO2 nano particles and finally the HEPA type breathing wall is made by sintering in the electric furnace at $300\sim500^{\circ}C$. The pressure drops of nano ceramic structure are 8.2, 25.5 and 44.9 mmAq at the face velocity of 2.0, 5.9 and 8.8 cm/s, respectively. Also the water vapor permeability is $3.6g/m^2{\cdot}h{\cdot}mmHg$. In this research, the porous nano ceramic structures are obtained and the possibility for the usage of a material for HEPA type breathing wall can be obtained.

Dry Etching of GaAs in a Planar Inductively Coupled BCl3 Plasma (BCl3 평판형 유도결합 플라즈마를 이용한 GaAs 건식식각)

  • Lim, Wan-tea;Baek, In-kyoo;Jung, Pil-gu;Lee, Je-won;Cho, Guan-Sik;Lee, Joo-In;Cho, Kuk-San;Pearton, S.J.
    • Korean Journal of Materials Research
    • /
    • v.13 no.4
    • /
    • pp.266-270
    • /
    • 2003
  • We studied BCl$_3$ dry etching of GaAs in a planar inductively coupled plasma system. The investigated process parameters were planar ICP source power, chamber pressure, RIE chuck power and gas flow rate. The ICP source power was varied from 0 to 500 W. Chamber pressure, RIE chuck power and gas flow rate were controlled from 5 to 15 mTorr, 0 to 150 W and 10 to 40 sccm, respectively. We found that a process condition at 20 sccm $BCl_3$ 300 W ICP, 100 W RIE and 7.5 mTorr chamber pressure gave an excellent etch result. The etched GaAs feature depicted extremely smooth surface (RMS roughness < 1 nm), vertical sidewall, relatively fast etch rate (> $3000\AA$/min) and good selectivity to a photoresist (> 3 : 1). XPS study indicated a very clean surface of the material after dry etching of GaAs. We also noticed that our planar ICP source was successfully ignited both with and without RIE chuck power, which was generally not the case with a typical cylindrical ICP source, where assistance of RIE chuck power was required for turning on a plasma and maintaining it. It demonstrated that the planar ICP source could be a very versatile tool for advanced dry etching of damage-sensitive compound semiconductors.