• Title/Summary/Keyword: Run-to-Run(R2R) Control

Search Result 43, Processing Time 0.029 seconds

Design of fuzzy logic Run-by-Run controller for rapid thermal precessing system (고속 열처리공정 시스템의 퍼지 Run-by-Run 제어기 설계)

  • Lee, Seok-Joo;Woo, Kwang-Bang
    • Journal of Institute of Control, Robotics and Systems
    • /
    • v.6 no.1
    • /
    • pp.104-111
    • /
    • 2000
  • A fuzzy logic Run-by-Run(RbR) controller and an in -line wafer characteristics prediction scheme for the rapid thermal processing system have been developed for the study of process repeatability. The fuzzy logic RbR controller provides a framework for controlling a process which is subject to disturbances such as shifts and drifts as a normal part of its operation. The fuzzy logic RbR controller combines the advantages of both fuzzy logic and feedback control. It has two components : fuzzy logic diagnostic system and model modification system. At first, a neural network model is constructed with the I/O data collected during the designed experiments. The wafer state after each run is assessed by the fuzzy logic diagnostic system with featuring step. The model modification system updates the existing neural network process model in case of process shift or drift, and then select a new recipe based on the updated model using genetic algorithm. After this procedure, wafer characteristics are predicted from the in-line wafer characteristics prediction model with principal component analysis. The fuzzy logic RbR controller has been applied to the control of Titanium SALICIDE process. After completing all of the above, it follows that: 1) the fuzzy logic RbR controller can compensate the process draft, and 2) the in-line wafer characteristics prediction scheme can reduce the measurement cost and time.

  • PDF

Recursive Least Squares Run-to-Run Control with Time-Varying Metrology Delays

  • Fan, Shu-Kai;Chang, Yuan-Jung
    • Industrial Engineering and Management Systems
    • /
    • v.9 no.3
    • /
    • pp.262-274
    • /
    • 2010
  • This article investigates how to adaptively predict the time-varying metrology delay that could realistically occur in the semiconductor manufacturing practice. Metrology delays pose a great challenge for the existing run-to-run (R2R) controllers, driving the process output significantly away from target if not adequately predicted. First, the expected asymptotic double exponentially weighted moving average (DEWMA) control output, by using the EWMA and recursive least squares (RLS) prediction methods, is derived. It has been found that the relationships between the expected control output and target in both estimation methods are parallel, and six cases are addressed. Within the context of time-varying metrology delay, this paper presents a modified recursive least squares-linear trend (RLS-LT) controller, in combination with runs test. Simulated single input-single output (SISO) R2R processes subject to various time-varying metrology delay scenarios are used as a testbed to evaluate the proposed algorithms. The simulation results indicate that the modified RLS-LT controller can yield the process output more accurately on target with smaller mean squared error (MSE) than the original RLSLT controller that only deals with constant metrology delays.

An Evaluation of Multiple-input Dual-output Run-to-Run Control Scheme for Semiconductor Manufacturing

  • Fan, Shu-Kai-S.;Lin, Yen
    • Industrial Engineering and Management Systems
    • /
    • v.4 no.1
    • /
    • pp.54-67
    • /
    • 2005
  • This paper provides an evaluation of an optimization-based, multiple-input double-output (MIDO) run-to-run (R2R) control scheme for general semiconductor manufacturing processes. The controller in this research, termed adaptive dual response optimizing controller (ADROC), can serve as a process optimizer as well as a recipe regulator between consecutive runs of wafer fabrication. In evaluation, it is assumed that the equipment model could be appropriately described by a pair of second-order polynomial functions in terms of a set of controllable variables. Of practical relevance is to consider a drifting effect in the equipment model since in common semiconductor practice the process tends to drift due to machine aging and tool wearing. We select a typical application of R2R control to chemical mechanical planarization (CMP) in semiconductor manufacturing in this evaluation, and there are five different CMP process scenarios demonstrated, including mean shift, variance increase, and IMA disturbances. For the controller, ADROC, an on-line estimation technique is implemented in a self-tuning (ST) control manner for the adaptation purpose. Subsequently, an ad hoc global optimization algorithm based on the dual response approach, arising from the response surface methodology (RSM) literature, is used to seek the optimum recipe within the acceptability region for the execution of next run. The main components of ADROC are described and its control performance is assessed. It reveals from the evaluation that ADROC can provide excellent control actions for the MIDO R2R situations even though the process exhibits complicated, nonlinear interaction effects between control variables, and the drifting disturbances.

Run-to-Run Control of Inductively Coupled C2F6 Plasmas Etching of SiO2;Construction of a Process Simulator with a CFD code

  • Seo, Seung-T.;Lee, Yong-H.;Lee, Kwang-S.;Yang, Dae-R.;Choi, Bum-Kyoo
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 2005.06a
    • /
    • pp.519-524
    • /
    • 2005
  • A numerical process to simulate SiO2 dry etching with inductively coupled C2F6 plasmas has been constructed using a commercial CFD code as a first step to design a run-to-run control system. The simulator was tuned to reasonably predict the reactive ion etching behavior and used to investigate the effects of plasma operating variables on the etch rate and uniformity. The relationship between the operating variables and the etching characteristics was mathematically modeled through linear regression for future run-to-run control system design.

  • PDF

Advanced Process Control of the Critical Dimension in Photolithography

  • Wu, Chien-Feng;Hung, Chih-Ming;Chen, Juhn-Horng;Lee, An-Chen
    • International Journal of Precision Engineering and Manufacturing
    • /
    • v.9 no.1
    • /
    • pp.12-18
    • /
    • 2008
  • This paper describes two run-to-run controllers, a nonlinear multiple exponential-weight moving-average (NMEWMA) controller and a dynamic model-tuning minimum-variance (DMTMV) controller, for photolithography processes. The relationships between the input recipes (exposure dose and focus) and output variables (critical dimensions) were formed using an experimental design method, and the photolithography process model was built using a multiple regression analysis. Both the NMEWMA and DMTMV controllers could update the process model and obtain the optimal recipes for the next run. Quantified improvements were obtained from simulations and real photolithography processes.

The in-control performance of the CCC-r chart with estimated parameters (추정된 모수를 사용한 CCC-r 관리도에서 관리상태의 성능)

  • Kim, Jaeyeon;Kim, Minji;Lee, Jaeheon
    • The Korean Journal of Applied Statistics
    • /
    • v.31 no.4
    • /
    • pp.485-495
    • /
    • 2018
  • The CCC-r chart is more effective than traditional attribute control charts for monitoring high-quality processes. In-control process parameters are typically unknown and should be estimated when implementing a CCC-r chart. Phase II control chart performance can deteriorate due to the effect of the estimation error. In this paper, we used the standard deviation of average run length (ARL) as well as the average of ARL to quantify the between-practitioner variability in the CCC-r chart performance. The results indicate that the CCC-r chart requires larger Phase I data than previously recommended in the literature in order to have consistent chart in-control performance among practitioners.

A Synthetic Chart to Monitor The Defect Rate for High-Yield Processes

  • Kusukawa, Etsuko;Ohta, Hiroshi
    • Industrial Engineering and Management Systems
    • /
    • v.4 no.2
    • /
    • pp.158-164
    • /
    • 2005
  • Kusukawa and Ohta presented the $CS_{CQ-r}$ chart to monitor the process defect $rate{\lambda}$ in high-yield processes that is derived from the count of defects. The $CS_{CQ-r}$ chart is more sensitive to $monitor{\lambda}$ than the CQ (Cumulative Quantity) chart proposed by Chan et al.. As a more superior chart in high-yield processes, we propose a Synthetic chart that is the integration of the CQ_-r chart and the $CS_{CQ-r}$chart. The quality characteristic of both charts is the number of units y required to observe r $({\geq}2)$ defects. It is assumed that this quantity is an Erlang random variable from the property that the quality characteristic of the CQ chart follows the exponential distribution. In use of the proposed Synthetic chart, the process is initially judged as either in-control or out-of-control by using the $CS_{CQ-r}$chart. If the process was not judged as in-control by the $CS_{CQ-r}$chart, the process is successively judged by using the $CQ_{-r}$chart to confirm the judgment of the $CS_{CQ-r}$chart. Through comparisons of ARL (Average Run Length), the proposed Synthetic chart is more superior to monitor the process defect rate in high-yield processes to the stand-alone $CS_{CQ-r}$ chart.

Real-time In-situ Plasma Etch Process Monitoring for Sensor Based-Advanced Process Control

  • Ahn, Jong-Hwan;Gu, Ja-Myong;Han, Seung-Soo;Hong, Sang-Jeen
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.11 no.1
    • /
    • pp.1-5
    • /
    • 2011
  • To enter next process control, numerous approaches, including run-to-run (R2R) process control and fault detection and classification (FDC) have been suggested in semiconductor manufacturing industry as a facilitation of advanced process control. This paper introduces a novel type of optical plasma process monitoring system, called plasma eyes chromatic system (PECSTM) and presents its potential for the purpose of fault detection. Qualitatively comparison of optically acquired signal levels vs. process parameter modifications are successfully demonstrated, and we expect that PECSTM signal can be a useful indication of onset of process change in real-time for advanced process control (APC).

Irrigation Control for Improving Irrigation Efficiency in Coir Substrate Hydroponic System (코이어 배지 수경재배에서 관수효율 향상을 위한 급액 제어)

  • Yoo, Hyung-Joo;Choi, Eun-Young;Lee, Yong-Beom
    • Journal of Bio-Environment Control
    • /
    • v.24 no.3
    • /
    • pp.153-160
    • /
    • 2015
  • The objectives of this study were to determine optimal length of off-time between irrigation cycles to improve irrigation efficiency using a frequency domain reflectometry (FDR) sensor-automated irrigation (FAI) system for tomato (Solanum lycopersicum L.) cultivation aimed at minimizing effluent from coir substrate hydroponics. For treatments, the 5-minute off-time length between 3-minute run-times (defined as 3R5F), 10-minute off-time length between 3-minute run-times (defined as 3R10F), or 15-minute off-time length between 5-minute run-times (defined as 5R15F) were set. During the 3-minute or 5-minute run-time, a 60mL or 80mL of nutrient solution was irrigated to each plant, respectively. Until 62 days after transplant (DAT) during the autumn to winter cultivation, daily irrigation volume was in the order of 3R5F (858mL) > 5R15F (409mL) > 3R10F (306mL) treatment, and daily drainage ratio was in the order of 3R5F (44%) > 5R15F (23%) > 3R10F (14%). Between 63 and 102 DAT, daily irrigated volume was in the order of 5R15F (888mL) > 3R5F (695mL) > 3R10F (524mL) with the highest drainage ratio, 19% (${\pm}2.6$), at the 5R15F treatment. During the spring to summer cultivation, daily irrigation volume and drainage ratio per plant was higher in the 3R5F treatment than that of the 3R10F treatment. For both cultivations, a higher water use efficiency (WUE) was observed under the 3R10F treatment. Integrated all the data suggest that the optimal off-time length is 10 minutes.

A Simulation study of EWMA control using dynamic control parameter (동적 모수를 사용한 EWMA 제어의 시뮬레이션 연구)

  • Kang, Seok-Chan;Hwang, Ji-Bin;Kim, Sung-Shick;Kim, Ji-Hyun
    • Journal of the Korea Society for Simulation
    • /
    • v.16 no.2
    • /
    • pp.37-44
    • /
    • 2007
  • EWMA is one of the most popular controller method used in Run-to-Run control system for semiconductor manufacturing. The value of the control parameter in EWMA has major effect on the result. Therefore, it is important to use control parameter value fitting for the process state. When the process is unstable, it is more efficient to change EWMA control parameter dynamically to compensate for the changing process state than using fixed control parameter. In this paper, we review previous studies using dynamic EWMA control parameter and propose a new algorithm complementing the weaknesses of the previous studies. The performance of the proposed algorithm is validated using simulation.

  • PDF