• 제목/요약/키워드: Reactive Ion Etch

검색결과 127건 처리시간 0.031초

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

Reactive Ion Etching에서 Optical Emission Spectroscopy의 투과율과 강도를 이용한 에러 감지 기술 제안 (Relative Transmittance and Emission Intensity of Optical Emission Spectroscopy for Fault Detection Application of Reactive Ion Etching)

  • 박진수;문세영;조일환;홍상진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 하계학술대회 논문집 Vol.9
    • /
    • pp.473-474
    • /
    • 2008
  • This paper proposes that the relative transmittance and emission intensity measured via optical emission spectroscopy (OES) is a useful for fault detection of reactive ion etch process. With the increased requests for non-invasive as well as real-time plasma process monitoring for fault detection and classification (FDC), OES is suggested as a useful diagnostic tool that satisfies both of the requirements. Relative optical transmittance and emission intensity of oxygen plasma acquired from various process conditions are directly compared with the process variables, such as RF power, oxygen flow and chamber pressure. The changes of RF power and Pressure are linearly proportional to the emission intensity while the change of gas flow can be detected with the relative transmittance.

  • PDF

The Characteristics of Plasma Polymerized Carbon Hardmask Film Prepared by Plasma Deposition Systems with the Variation of Temperature

  • Yang, J.;Ban, W.;Kim, S.;Kim, J.;Park, K.;Hur, G.;Jung, D.;Lee, J.
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2014년도 제46회 동계 정기학술대회 초록집
    • /
    • pp.381.1-381.1
    • /
    • 2014
  • In this study, we investigated the deposition behavior and the etch resistivity of plasma polymerized carbon hardmask (ppCHM) film with the variation of process temperature. The etch resistivity of deposited ppCHM film was analyzed by thickness measurement before and after direct contact reactive ion etching process. The physical and chemical properties of films were characterized on the Fourier transform infrared (FT-IR) spectroscope, Raman spectroscope, stress gauge, and ellipsometry. The deposition behavior of ppCHM process with the variation of temperature was correlated refractive index (n), extinction coefficient (k), intrinsic stress (MPa), and deposition rate (A/s) with the hydrocarbon concentration, graphite (G) and disordered (D) peak by analyzing the Raman and FT-IR spectrum. From this experiment we knew an optimal deposition condition for structure of carbon hardmask with the higher etch selectivity to oxide. It was shown the density of ppCHM film had 1.6~1.9 g/cm3 and its refractive index was 1.8~1.9 at process temperature, $300{\sim}600^{\circ}C$. The etch selectivity of ppCHM film was shown about 1:4~1:8 to undoped siliconoxide (USG) film (etch rate, 1300 A/min).

  • PDF

$BCI_3/H_2/Ar$ 유도결합 플라즈마를 이용한 GaN의 건식 식각에 관한 연구 (Reactive Ion Etching of GaN Using $BCI_3/H_2/Ar$ Inductively Coupled Plasma)

  • 김성대;정석용;이병택;허증수
    • 한국재료학회지
    • /
    • 제10권3호
    • /
    • pp.179-183
    • /
    • 2000
  • $BCI_3/H_2/Ar$ ICP(Inductively Coupled Plasma)를 이용한 GaN이 건식식각에 있어서 공정변수들이 식각 특성에 미치는 영향을 분석하고 적정조건을 도출하였다. 연구 결과 식각속도와 측벽수직도 공히 ICP 전력, bias 전압과 $BCI_3$ 조성의 증가, 공정압력의 감소에 의해 현저히 증가하며, 온도의 증가에 따라 다소간 증가하였고, 온도의 증가에 따라 다소간 증가하였고, $BCI_3$조성이 가장 큰 영향을 미쳤다. 표면거칠기는 bias 전압 증가에 의해 크게 향상, $BCI_3$ 조성의 감소에 따라 향상되었으며 다른 변수는 큰 영향을 미치지 않았다. 결과적으로 ICP 전력 900W, bias 전압 400V, $BCI_3$ 조성 60%, 공정압력 4mTorr의 조건에서 175nm/min 정도의 $CI_2$ 사용 시와 유사한 높은 식각속도와 평탄한 표면이 얻어졌다. Bias 전압이 낮은 경우 식각 후 시료 표면에 $GaC_x$로 추정되는 식각부산물이 관찰되었다.

  • PDF

MERIE형 금속 식각기에 의한 몰리브덴 식각 연구 (A Study on Etching of Molybdenum by MERIE Metal Etcher)

  • 김남훈;김창일;권광호;김태형;장의구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 1999년도 춘계학술대회 논문집
    • /
    • pp.34-38
    • /
    • 1999
  • In this study, molybdenum thin films were etched with the various Cl$_2$/(Cl$_2$+SF$_{6}$) gas mixing ratio in an magnetically enhanced reactive ion etching(MERIE) by the etching parameter such as rf power of 185 watts, chamber pressure of 40 mTorr and B-field of 80 gauss. The etch rate was 150 nm/min under Cl$_2$/(Cl$_2$+SF$_{6}$) gas mixing ratio of 0.25. At this time, the selectivity of Mo to SiO$_2$, photoresist were respectively 0.94, 0.50. The surface reaction of the etched Mo thin films was investigated with X - ray photoelectron spectroscopy (XPS).PS).

  • PDF

Microfabrication of Submicron-size Hole on the Silicon Substrate using ICP etching

  • Lee, J.W.;Kim, J.W.;Jung, M.Y.;Kim, D.W.;Park, S.S.
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 1999년도 제17회 학술발표회 논문개요집
    • /
    • pp.79-79
    • /
    • 1999
  • The varous techniques for fabrication of si or metal tip as a field emission electron source have been reported due to great potential capabilities of flat panel display application. In this report, 240nm thermal oxide was initially grown at the p-type (100) (5-25 ohm-cm) 4 inch Si wafer and 310nm Si3N4 thin layer was deposited using low pressure chemical vapor deposition technique(LPCVD). The 2 micron size dot array was photolithographically patterned. The KOH anisotropic etching of the silicon substrate was utilized to provide V-groove formation. After formation of the V-groove shape, dry oxidation at 100$0^{\circ}C$ for 600 minutes was followed. In this procedure, the orientation dependent oxide growth was performed to have a etch-mask for dry etching. The thicknesses of the grown oxides on the (111) surface and on the (100) etch stop surface were found to be ~330nm and ~90nm, respectively. The reactive ion etching by 100 watt, 9 mtorr, 40 sccm Cl2 feed gas using inductively coupled plasma (ICP) system was performed in order to etch ~90nm SiO layer on the bottom of the etch stop and to etch the Si layer on the bottom. The 300 watt RF power was connected to the substrate in order to supply ~(-500)eV. The negative ion energy would enhance the directional anisotropic etching of the Cl2 RIE. After etching, remaining thickness of the oxide on the (111) was measured to be ~130nm by scanning electron microscopy.

  • PDF

A Study of Dry Etch Mechanism of the GaN using Plasma Mass Spectrometry

  • Kim, H.S.;Lee, W.J.;Jang, J.W.;Yeom, G.Y.;Lee, J.W.;Kim, T.I.
    • 한국표면공학회지
    • /
    • 제32권3호
    • /
    • pp.416-422
    • /
    • 1999
  • The characteristics of inductively coupled Cl$_2$/BCl$_3$ plasmas during the GaN etching were studied using plasma mass spectrometry by measuring the relative amounts of reactive ions, neutrals, and etch products. GaN etch rates increased with the increase of pressure and showed a maximum near 25mTorr for the pure $Cl_2$ and near 30mTorr for $Cl_2$$BCl_3$. The addition of$ BCl_3$ to $Cl_2$ also was increased GaN etch rates until 50%BCl$_3$ was mixed to $Cl_2$. The GaN etching with pure $Cl Cl_2$ appears to be related to the combination of Cl$_2^{+}$ ion bombardment and the chemical reaction of Cl radicals. In the case of the GaN etching with Cl$_2$/BCl$_3$, in addition to the combined effect of$_2^{ +}$ ions and Cl radicals, $_BCl2^{+ }$ ions appear to be responsible for some of GaN etching even though they do not have significant effect on the GaN etching compared to $Cl_2^{+}$ and Cl. $Ga^{+ }$ , $GaCl^{+}$ , $GaCl_2^{+}$ , and $N_2^{+}$ were observed as the positive ions of etch products, and the intensities of these etch products showed the same trends as those of GaN etch rate. Among the etch products, Ga and $N_2$ appear to be the main etch products.

  • PDF

Effects of CF4 Plasma Treatment on Characteristics of Enhancement Mode AlGaN/GaN High Electron Mobility Transistors

  • Horng, Ray-Hua;Yeh, Chih-Tung
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2015년도 제49회 하계 정기학술대회 초록집
    • /
    • pp.62-62
    • /
    • 2015
  • In this study, we study the effects of CF4 plasma treatment on the characteristics of enhancement mode (E-mode) AlGaN/GaN high electron mobility transistors (HEMTs). The CF4 plasma is generated by inductively coupled plasma reactive ion etching (ICP-RIE) system. The CF4 gas is decomposed into fluorine ions by ICP-RIE and then fluorine ions will effect the AlGaN/GaN interface to inhibit the electron transport of two dimension electron gas (2DEG) and increase channel resistance. The CF4 plasma method neither like the recessed type which have to utilize Cl2/BCl3 to etch semiconductor layer nor ion implantation needed high power to implant ions into semiconductor. Both of techniques will cause semiconductor damage. In the experiment, the CF4 treatment time are 0, 50, 100, 150, 200 and 250 seconds. It was found that the devices treated 100 seconds showed best electric performance. In order to prove fluorine ions existing and CF4 plasma treatment not etch epitaxial layer, the secondary ion mass spectrometer confirmed fluorine ions truly existing in the sample which treatment time 100 seconds. Moreover, transmission electron microscopy showed that the sample treated time 100 seconds did not have etch phenomena. Atomic layer deposition is used to grow Al2O3 with thickness 10, 20, 30 and 40 nm. In electrical measurement, the device that deposited 20-nm-thickness Al2O3 showed excellent current ability, the forward saturation current of 210 mA/mm, transconductance (gm) of 44.1 mS/mm and threshold voltage of 2.28 V, ION/IOFF reach to 108. As IV concerning the breakdown voltage measurement, all kinds of samples can reach to 1450 V.

  • PDF

다층 RIE Electrode를 이용한 아크릴의 O2/N2 플라즈마 건식 식각 ([O2/N2] Plasma Etching of Acrylic in a Multi-layers Electrode RIE System)

  • 김재권;김주형;박연현;주영우;백인규;조관식;송한정;이제원
    • 한국재료학회지
    • /
    • 제17권12호
    • /
    • pp.642-647
    • /
    • 2007
  • We investigated dry etching of acrylic (PMMA) in $O_2/N_2$ plasmas using a multi-layers electrode reactive ion etching (RIE) system. The multi-layers electrode RIE system had an electrode (or a chuck) consisted of 4 individual layers in a series. The diameter of the electrodes was 150 mm. The etch process parameters we studied were both applied RIE chuck power on the electrodes and % $O_2$ composition in the $N_2/O_2$ plasma mixtures. In details, the RIE chuck power was changed from 75 to 200 W.% $O_2$ in the plasmas was varied from 0 to 100% at the fixed total gas flow rates of 20 sccm. The etch results of acrylic in the multilayers electrode RIE system were characterized in terms of negatively induced dc bias on the electrode, etch rates and RMS surface roughness. Etch rate of acrylic was increased more than twice from about $0.2{\mu}m/min$ to over $0.4{\mu}m/min$ when RIE chuck power was changed from 75 to 200 W. 1 sigma uniformity of etch rate variation of acrylic on the 4 layers electrode was slightly increased from 2.3 to 3.2% when RIE chuck power was changed from 75 to 200 W at the fixed etch condition of 16 sccm $O_2/4\;sccm\;N_2$ gas flow and 100 mTorr chamber pressure. Surface morphology was also investigated using both a surface profilometry and scanning electron microscopy (SEM). The RMS roughness of etched acrylic surface was strongly affected by % $O_2$ composition in the $O_2/N_2$ plasmas. However, RIE chuck power changes hardly affected the roughness results in the range of 75-200 W. During etching experiment, Optical Emission Spectroscopy (OES) data was taken and we found both $N_2$ peak (354.27 nm) and $O_2$ peak (777.54 nm). The preliminarily overall results showed that the multi-layers electrode concept could be successfully utilized for high volume reactive ion etching of acrylic in the future.

STI--CMP 공정에서 Torn oxide 결함 해결에 관한 연구 (A Study for the Improvement of Torn Oxide Defects in Shallow Trench Isolation-Chemical Mechanical Polishing (STI-CMP) Process)

  • 서용진;정헌상;김상용;이우선;이강현;장의구
    • 한국전기전자재료학회논문지
    • /
    • 제14권1호
    • /
    • pp.1-5
    • /
    • 2001
  • STI(shallow trench isolation)-CMP(chemical mechanical polishing) process have been substituted for LOCOS(local oxidation of silicon) process to obtain global planarization in the below sub-0.5㎛ technology. However TI-CMP process, especially TI-CMP with RIE(reactive ion etching) etch back process, has some kinds of defect like nitride residue, torn oxide defect, etc. In this paper, we studied how to reduced torn oxide defects after STI-CMP with RIE etch back processed. Although torn oxide defects which can occur on trench area is not deep and not severe, torn oxide defects on moat area is not deep and not severe, torn oxide defects on moat area is sometimes very deep and makes the yield loss. Thus, we did test on pattern wafers which go through trench process, APECVD process, and RIE etch back process by using an IPEC 472 polisher, IC1000/SUVA4 PAD and KOH base slurry to reduce the number of torn defects and to study what is the origin of torn oxide defects.

  • PDF