• 제목/요약/키워드: Quality Of A Product

검색결과 4,813건 처리시간 0.033초

고객지향적 제품개발을 위한 감성품질 평가 방법론에 관한 실증적 연구 - 마루 바닥재를 중심으로 - (A Empirical Study on the Measurement Method of Emotional Quality for Customer-oriented Product Development - Focused on Wood Flooring -)

  • 김동남;윤명환;이주환;조재립
    • 한국품질경영학회:학술대회논문집
    • /
    • 한국품질경영학회 2006년도 춘계학술대회
    • /
    • pp.355-358
    • /
    • 2006
  • The emotional quality of the product have become an important factor in purchasing decision. Classical approach of product design has focused on providing proper functions of the product. However, as the life-cycle of products become shorter and the customer's needs get more diversified, it is now necessary to accommodate the customer's emotional requirement in product design. This study aims to analyze and interpret the emotional characteristics based customer preference survey. This study consisted of two part. First part was to search the optimal combination of design variables through DOE of predetermined 5 design variables. In the second part, we developed customer preference index model to measure the customer preferences about 16 different wood flooring product.

  • PDF

국내 제조 산업의 R&D 투자가 수출에 미치는 영향: 제품경쟁력과 원가경쟁력의 매개효과를 중심으로 (The Effects of R&D Investments on Exports in the Korean Manufacturing Industry: Focusing on Mediating Effects of Product and Cost Competitiveness)

  • 한현선;안혜성;이철
    • 무역학회지
    • /
    • 제42권2호
    • /
    • pp.1-27
    • /
    • 2017
  • 본 연구의 목적은 국내 제조 산업의 R&D 투자가 산업의 제품경쟁력과 원가경쟁력의 증대를 통해 높여 수출에 미치는 영향을 살펴보는데 있다. 이를 위해 한국 제조업을 대상으로 20개 업종별로 2004년-2014년도의 자료를 이용해 실증분석 하였으며, 산업의 제품 경쟁력을 신제품 혁신역량과 품질경쟁력으로, 산업의 원가경쟁력을 노동생산성과 자본생산성으로 나누어 살펴보았다. 경로분석을 통해 검증한 분석결과는 다음과 같다. 첫째, 제조 산업의 R&D 투자가 증가 할수록 산업의 신제품 혁신역량, 품질경쟁력, 노동생산성, 자본생산성이 증가하였다. 둘째, R&D투자로 증대된 제조업의 품질경쟁력, 노동생산성, 자본생산성의 향상은 수출 증가에 긍정적인 영향을 미쳤다. 따라서 한국 제조 산업의 R&D 투자는 품질경쟁력과 원가경쟁력의 강화를 통해 산업의 수출 증대에 긍정적 효과를 미친다는 것을 확인하였다.

  • PDF

구상흑연주철의 품질관리에 관하여 (A Study on the Quality Control of Nodular Graphite Cast Iron)

  • 강경식
    • 산업경영시스템학회지
    • /
    • 제5권6호
    • /
    • pp.55-62
    • /
    • 1982
  • Mechanical characteristics of nodular graphite cast iron has found to tan higher utilization to the gray cast iron. So, Nodular graphite cast iron is used as a basic material in mechanical industry. Great demand of Nodular graphite cast iron is expected to increase due to the sharp development in mechanical and automobile industry. Therefore, Nodular graphite cast iron requires not only good quality product but experienced skills in manufacturing process. But there exist a lot of difficulties to manufacture nodular graphite cast iron than gray cast iron in manufacturing process. In this study, the following topics are studied for good quality product of nodular graphite cast iron. $\circled1$ Activities of managerial staff. $\circled2$ Qualite control method in manufacturing process. $\circled3$ Manufacturing process system. As a result of the study, a intensive Quality control activities should be applied and reinforced at each stage of manufacturing process rather that at the last stage of final product.

  • PDF

Factor Affecting Customer Purchasing Decision of Poultry Product in Indonesia

  • Jonathan Axcel ANTAPUTRA;Charly HONGDIYANTO;Steven GUNAWAN
    • 융합경영연구
    • /
    • 제11권4호
    • /
    • pp.77-87
    • /
    • 2023
  • Purpose: In a business, it is very important to be able to pay attention to consumers. When making a purchase, consumers will first evaluate until they make a purchase decision. This study was conducted to analyze the effects of price, promotion, and product quality on consumer purchasing decisions on poultry feed. Research design, data, and methodology: The research was conducted quantitatively using the Google Form Survey instrument to collect respondent data. The population of this research is poultry feed consumers in Indonesia. Ninety-two respondents participated in this study. Results: The findings revealed that all the independent variables used in this research contribute significantly to determining customer purchase decisions. Conclusions: The results of the study found that price, promotion, and product quality had positive and significant effects on consumer purchasing decisions for poultry products

브랜드이미지가 구매태도에 미치는 영향 (Effects of Brand Image on the Purchasing Attitude of Customer)

  • 정서란;이진호
    • 디자인학연구
    • /
    • 제18권1호
    • /
    • pp.59-68
    • /
    • 2005
  • 시대변화에 따라 제품에 대한 소비자의 구매 결정기준과 제품 공급방법은 끊임없는 변화가 거듭되었다. 디지털기술을 응용한 on-line 시스템이 상품에 대한 정보를 전달하고 생산과 구매가 동시적으로 일어나는 시장체제가 형성하게 되었다. 그 결과 소비자 중심의 브랜드 구축이 중요한 과제가 되고 있다. 따라서 실증조사를 통하여 경제 사회 환경 변화에 따른 소비자의 의식구조를 파악하고, 이 변화에 따른 소비자의 구매결정 기준을 이해하고자 한다. 소비자의 구매태도를 만족, 선호, 재구입, 추천으로 기준을 설정하고, 이들 4개의 기준에 미칠 수 있는 브랜드 이미지의 요인들의 영향을 파악하였다. 브랜드 이미지의 효율적 형성을 위하여서 생성되는 소비자(고객) 중심의 브랜드 가치는 실증분석을 통하여 얻어진 결과를 보면 사회생활가치, 정서가치, 개성적가치 수용하여 브랜드이미지에 적용하는 것이 효과적인 것으로 나타났다. 연구결과에서 도출된 브랜드 이미지의 공통적 요인으로는 차별성가 고객 중심의 일관성 및 개성적, 감성적 요인들을 부각 시를 수 있는 행태로 브랜드 이미지화를 도모할 필요가 있다는 결과가 나타났다. 따라서 본 연구는 미래 지향적이고, 소비자에게 인지 연상되는 기능을 보다 효과적으로 증대시킬 수 있도록 고객 중심의 브랜드 창출을 위한 가이드라인을 제시하였다.

  • PDF

식각액(Wet Etchant)제조공정의 품질향상을 위한 강건설계에 대한 연구 (A study on the robust design for quality improvement of Wet Etchant manufacturing process)

  • 최용석;황덕형;조광희;오선일;강경식
    • 대한안전경영과학회지
    • /
    • 제14권1호
    • /
    • pp.155-165
    • /
    • 2012
  • This essay talks about research of robust design for quality improvement of production procedure of Wet Etchant. It suggested the optimum design method in consideration of specific capability value that is the way to maximize the quality of product in the production system by using Daguchi parameter design method while finding factors affecting product quality with analysis of production system of product A from producer D. Also, it set long term of 6months as noise factor and let it to be the robust design that can find the optimum condition of control factor that is dull to the changes of each month, that is the change in noise factor. The control factor which affects the product quality is decided as combination method, temperature of raw material, combination time and as there are too many possibilities for combination methods, we performed 4 methods first based on previous research data then derived three ways with product that passed SPEC and set as the factor. As a result of application of optimum production procedure suggested in this essay to the actual production process with its standardization, there was a effect of drop of more than 10particles in comparison to the particle number of previous product and also it brought the effect that resulted the stable number of particle of under 30 that is what the client company suggested.

솔비톨의 당대체효과에 의한 유자청의 품질안정성에 관한연구 (Substitution Effect of Sorbitol for Sugar on the Quality Stability of Yu Ja Cheong(Citron product))

  • 차용준;이상민;안병주;송능숙;전수진
    • 한국식품영양과학회지
    • /
    • 제19권1호
    • /
    • pp.13-20
    • /
    • 1990
  • Yu Ja cheong(Citron product) one of the traditional drinks was processed by a modified method to improve the quality,. Sugar(38%) and sorbitol(12%) were added as partially substi-tuted sugar and experimented about quality stability during storage at 20$\pm$2$^{\circ}C$ comparing with conventional 50% sugar added product. Chemical compositions of the acidity 40. 46mg% total Vitamin and 28.5mg% amino-N and pH was 3.7 During the storage pH reducing sugar and amino-N contents increased slightly while total acididty decreased slightly and reduced vitamin C occupied most part of total vitamin C after proessing was converted to oxidized vitamin C greatly at 70 days of storage. While L a and b values deceased in between modified one and conventional product. e value increased continually during storage {{{{ {C }_{16 { }:_{ } 0 } }}}} {{{{ {C }_{18 { }:_{ }0 } }}}} {{{{ {C}_{18 { }:_{ }1 } }}}} and {{{{ {C }_{18 { }:_{ }2 } }}}} contnts in fatty acid and citric acid itaconic acid malic acid and succinic acid in organic acid were the major componets in both products. Judging from the results of experiments during storage the quality of the modified product was compared quite well with that of vonventional one during storage.

  • PDF

제품 포트폴리오 전략 수립을 위한 표준연결망 활용방안 연구 (A Study on the Application of Korean Standards(KS) Networks to the Development of a Product Portfolio Strategy)

  • 윤태영;조남욱
    • 품질경영학회지
    • /
    • 제41권4호
    • /
    • pp.637-648
    • /
    • 2013
  • Purpose: The objective of this study is to provide a methodology that can facilitate efficient development of a product portfolio by utilizing Korean Standards(KS) networks. Methods: A case study on a steel manufacturing company is provided. Social network analysis h as been conducted on KS network and KS certification information of the company. Core test standards of a company have been identified. The core standards, then, used to construct a product-standard network of a corresponding industry. Results: As a result of analyzing product-standard networks, a product portfolio of a company has been developed. It has been shown that the candidate product portfolio is a cost-effective alternative in terms of standard maintenance cost. Conclusion: By using social network analysis, standards information can be used to support new product development process.

UML 기반 객체 지향 개발을 위해 ISO 12207을 조정한 객체지향 프로세스 (ISO12207 Tailored Object-Oriented Process for UML Based Object-Oriented Development)

  • 이상준;김병기
    • 한국정보처리학회논문지
    • /
    • 제6권10호
    • /
    • pp.2680-2692
    • /
    • 1999
  • Software quality is classified by quality of process and product. In experience of Quality Management, it is known that quality level of product as it depends on goodness and badness of process and organization. As a result, improvement of software process has been important subject. According as this trends, ISO 12207 is publicated as standard of software life cycle process by ISO. For UML based object oriented development process, it is necessary that we should research detailed definition of activity and task of ISO 12207 process which is added, deleted or tailored in according to organization and project characteristics. In this thesis, by according with ISO 12207 software life cycle process, UML based object oriented development process is proposed. This process is composed of 7 steps and 19 activities including development phase, activity and product to improve quality of reliability. Usefulness of object oriented process for improvement of software quality is proved at three ways, which are comparative analysis of process characteristics, SPICE process evaluation and SPICE rick analysis.

  • PDF

A Study on Simultaneous Optimization of Multiple Quality Characteristics for Robust Design

  • Kwon, Yong Man
    • 품질경영학회지
    • /
    • 제24권2호
    • /
    • pp.142-157
    • /
    • 1996
  • Robust design in industry is an approach to reducing performance variation of quality characteristic values in products and processes. In the Taguchi type robust design, the product array approach using orthogonal arrays is mainly used. However, it often requires an excessive number of experiments. In this paper, for the combined array approach to assign control and noise factors, we propose how to simultaneously optimize multiple quality characteristics. Two examples are illustrated to show the difference between the product-array approach and the combined-array approach.

  • PDF